Coverage Report

Created: 2024-08-21 06:24

/src/capstonenext/arch/AArch64/AArch64GenAsmWriter.inc
Line
Count
Source (jump to first uncovered line)
1
/* Capstone Disassembly Engine, https://www.capstone-engine.org */
2
/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2022, */
3
/*    Rot127 <unisono@quyllur.org> 2022-2024 */
4
/* Automatically generated file by Capstone's LLVM TableGen Disassembler Backend. */
5
6
/* LLVM-commit: <commit> */
7
/* LLVM-tag: <tag> */
8
9
/* Do not edit. */
10
11
/* Capstone's LLVM TableGen Backends: */
12
/* https://github.com/capstone-engine/llvm-capstone */
13
14
#include <capstone/platform.h>
15
#include <assert.h>
16
17
/// getMnemonic - This method is automatically generated by tablegen
18
/// from the instruction set description.
19
414k
static MnemonicBitsInfo getMnemonic(MCInst *MI, SStream *O) {
20
414k
#ifndef CAPSTONE_DIET
21
414k
  static const char AsmStrs[] = {
22
414k
  /* 0 */ "sha1su0\t\0"
23
414k
  /* 9 */ "sha512su0\t\0"
24
414k
  /* 20 */ "sha256su0\t\0"
25
414k
  /* 31 */ "st64bv0\t\0"
26
414k
  /* 40 */ "ld1\t\0"
27
414k
  /* 45 */ "stl1\t\0"
28
414k
  /* 51 */ "trn1\t\0"
29
414k
  /* 57 */ "ldap1\t\0"
30
414k
  /* 64 */ "zip1\t\0"
31
414k
  /* 70 */ "uzp1\t\0"
32
414k
  /* 76 */ "zipq1\t\0"
33
414k
  /* 83 */ "uzpq1\t\0"
34
414k
  /* 90 */ "dcps1\t\0"
35
414k
  /* 97 */ "sm3ss1\t\0"
36
414k
  /* 105 */ "gcsss1\t\0"
37
414k
  /* 113 */ "st1\t\0"
38
414k
  /* 118 */ "sha1su1\t\0"
39
414k
  /* 127 */ "sha512su1\t\0"
40
414k
  /* 138 */ "sha256su1\t\0"
41
414k
  /* 149 */ "sm3partw1\t\0"
42
414k
  /* 160 */ "rax1\t\0"
43
414k
  /* 166 */ "rev32\t\0"
44
414k
  /* 173 */ "ld2\t\0"
45
414k
  /* 178 */ "sha512h2\t\0"
46
414k
  /* 188 */ "sha256h2\t\0"
47
414k
  /* 198 */ "luti2\t\0"
48
414k
  /* 205 */ "sabal2\t\0"
49
414k
  /* 213 */ "uabal2\t\0"
50
414k
  /* 221 */ "sqdmlal2\t\0"
51
414k
  /* 231 */ "fmlal2\t\0"
52
414k
  /* 239 */ "smlal2\t\0"
53
414k
  /* 247 */ "umlal2\t\0"
54
414k
  /* 255 */ "ssubl2\t\0"
55
414k
  /* 263 */ "usubl2\t\0"
56
414k
  /* 271 */ "sabdl2\t\0"
57
414k
  /* 279 */ "uabdl2\t\0"
58
414k
  /* 287 */ "saddl2\t\0"
59
414k
  /* 295 */ "uaddl2\t\0"
60
414k
  /* 303 */ "sshll2\t\0"
61
414k
  /* 311 */ "ushll2\t\0"
62
414k
  /* 319 */ "sqdmull2\t\0"
63
414k
  /* 329 */ "pmull2\t\0"
64
414k
  /* 337 */ "smull2\t\0"
65
414k
  /* 345 */ "umull2\t\0"
66
414k
  /* 353 */ "sqdmlsl2\t\0"
67
414k
  /* 363 */ "fmlsl2\t\0"
68
414k
  /* 371 */ "smlsl2\t\0"
69
414k
  /* 379 */ "umlsl2\t\0"
70
414k
  /* 387 */ "bf1cvtl2\t\0"
71
414k
  /* 397 */ "bf2cvtl2\t\0"
72
414k
  /* 407 */ "fcvtl2\t\0"
73
414k
  /* 415 */ "rsubhn2\t\0"
74
414k
  /* 424 */ "raddhn2\t\0"
75
414k
  /* 433 */ "sqshrn2\t\0"
76
414k
  /* 442 */ "uqshrn2\t\0"
77
414k
  /* 451 */ "sqrshrn2\t\0"
78
414k
  /* 461 */ "uqrshrn2\t\0"
79
414k
  /* 471 */ "trn2\t\0"
80
414k
  /* 477 */ "bfcvtn2\t\0"
81
414k
  /* 486 */ "sqxtn2\t\0"
82
414k
  /* 494 */ "uqxtn2\t\0"
83
414k
  /* 502 */ "sqshrun2\t\0"
84
414k
  /* 512 */ "sqrshrun2\t\0"
85
414k
  /* 523 */ "sqxtun2\t\0"
86
414k
  /* 532 */ "fcvtxn2\t\0"
87
414k
  /* 541 */ "zip2\t\0"
88
414k
  /* 547 */ "uzp2\t\0"
89
414k
  /* 553 */ "zipq2\t\0"
90
414k
  /* 560 */ "uzpq2\t\0"
91
414k
  /* 567 */ "dcps2\t\0"
92
414k
  /* 574 */ "gcsss2\t\0"
93
414k
  /* 582 */ "st2\t\0"
94
414k
  /* 587 */ "ssubw2\t\0"
95
414k
  /* 595 */ "usubw2\t\0"
96
414k
  /* 603 */ "saddw2\t\0"
97
414k
  /* 611 */ "uaddw2\t\0"
98
414k
  /* 619 */ "sm3partw2\t\0"
99
414k
  /* 630 */ "ld3\t\0"
100
414k
  /* 635 */ "eor3\t\0"
101
414k
  /* 641 */ "dcps3\t\0"
102
414k
  /* 648 */ "st3\t\0"
103
414k
  /* 653 */ "rev64\t\0"
104
414k
  /* 660 */ "ld4\t\0"
105
414k
  /* 665 */ "luti4\t\0"
106
414k
  /* 672 */ "st4\t\0"
107
414k
  /* 677 */ "setf16\t\0"
108
414k
  /* 685 */ "rev16\t\0"
109
414k
  /* 692 */ "setf8\t\0"
110
414k
  /* 699 */ "sm3tt1a\t\0"
111
414k
  /* 708 */ "sm3tt2a\t\0"
112
414k
  /* 717 */ "braa\t\0"
113
414k
  /* 723 */ "ldraa\t\0"
114
414k
  /* 730 */ "blraa\t\0"
115
414k
  /* 737 */ "saba\t\0"
116
414k
  /* 743 */ "uaba\t\0"
117
414k
  /* 749 */ "pacda\t\0"
118
414k
  /* 756 */ "ldadda\t\0"
119
414k
  /* 764 */ "fadda\t\0"
120
414k
  /* 771 */ "autda\t\0"
121
414k
  /* 778 */ "pacga\t\0"
122
414k
  /* 785 */ "addha\t\0"
123
414k
  /* 792 */ "pacia\t\0"
124
414k
  /* 799 */ "autia\t\0"
125
414k
  /* 806 */ "brka\t\0"
126
414k
  /* 812 */ "fcmla\t\0"
127
414k
  /* 819 */ "bfmla\t\0"
128
414k
  /* 826 */ "bfmmla\t\0"
129
414k
  /* 834 */ "usmmla\t\0"
130
414k
  /* 842 */ "ummla\t\0"
131
414k
  /* 849 */ "fnmla\t\0"
132
414k
  /* 856 */ "ldsmina\t\0"
133
414k
  /* 865 */ "ldumina\t\0"
134
414k
  /* 874 */ "brkpa\t\0"
135
414k
  /* 881 */ "bmopa\t\0"
136
414k
  /* 888 */ "bfmopa\t\0"
137
414k
  /* 896 */ "usmopa\t\0"
138
414k
  /* 904 */ "sumopa\t\0"
139
414k
  /* 912 */ "rcwsswppa\t\0"
140
414k
  /* 923 */ "rcwswppa\t\0"
141
414k
  /* 933 */ "ldclrpa\t\0"
142
414k
  /* 942 */ "rcwsclrpa\t\0"
143
414k
  /* 953 */ "rcwclrpa\t\0"
144
414k
  /* 963 */ "rcwscaspa\t\0"
145
414k
  /* 974 */ "rcwcaspa\t\0"
146
414k
  /* 984 */ "ldsetpa\t\0"
147
414k
  /* 993 */ "rcwssetpa\t\0"
148
414k
  /* 1004 */ "rcwsetpa\t\0"
149
414k
  /* 1014 */ "rcwsswpa\t\0"
150
414k
  /* 1024 */ "rcwswpa\t\0"
151
414k
  /* 1033 */ "fexpa\t\0"
152
414k
  /* 1040 */ "ldclra\t\0"
153
414k
  /* 1048 */ "rcwsclra\t\0"
154
414k
  /* 1058 */ "rcwclra\t\0"
155
414k
  /* 1067 */ "ldeora\t\0"
156
414k
  /* 1075 */ "srsra\t\0"
157
414k
  /* 1082 */ "ursra\t\0"
158
414k
  /* 1089 */ "ssra\t\0"
159
414k
  /* 1095 */ "usra\t\0"
160
414k
  /* 1101 */ "rcwscasa\t\0"
161
414k
  /* 1111 */ "rcwcasa\t\0"
162
414k
  /* 1120 */ "ldseta\t\0"
163
414k
  /* 1128 */ "rcwsseta\t\0"
164
414k
  /* 1138 */ "rcwseta\t\0"
165
414k
  /* 1147 */ "frinta\t\0"
166
414k
  /* 1155 */ "clasta\t\0"
167
414k
  /* 1163 */ "addva\t\0"
168
414k
  /* 1170 */ "mova\t\0"
169
414k
  /* 1176 */ "ldsmaxa\t\0"
170
414k
  /* 1185 */ "ldumaxa\t\0"
171
414k
  /* 1194 */ "pacdza\t\0"
172
414k
  /* 1202 */ "autdza\t\0"
173
414k
  /* 1210 */ "paciza\t\0"
174
414k
  /* 1218 */ "autiza\t\0"
175
414k
  /* 1226 */ "ld1b\t\0"
176
414k
  /* 1232 */ "ldff1b\t\0"
177
414k
  /* 1240 */ "ldnf1b\t\0"
178
414k
  /* 1248 */ "ldnt1b\t\0"
179
414k
  /* 1256 */ "stnt1b\t\0"
180
414k
  /* 1264 */ "st1b\t\0"
181
414k
  /* 1270 */ "sm3tt1b\t\0"
182
414k
  /* 1279 */ "crc32b\t\0"
183
414k
  /* 1287 */ "ld2b\t\0"
184
414k
  /* 1293 */ "st2b\t\0"
185
414k
  /* 1299 */ "sm3tt2b\t\0"
186
414k
  /* 1308 */ "ld3b\t\0"
187
414k
  /* 1314 */ "st3b\t\0"
188
414k
  /* 1320 */ "ld64b\t\0"
189
414k
  /* 1327 */ "st64b\t\0"
190
414k
  /* 1334 */ "ld4b\t\0"
191
414k
  /* 1340 */ "st4b\t\0"
192
414k
  /* 1346 */ "ldaddab\t\0"
193
414k
  /* 1355 */ "ldsminab\t\0"
194
414k
  /* 1365 */ "lduminab\t\0"
195
414k
  /* 1375 */ "swpab\t\0"
196
414k
  /* 1382 */ "brab\t\0"
197
414k
  /* 1388 */ "ldrab\t\0"
198
414k
  /* 1395 */ "blrab\t\0"
199
414k
  /* 1402 */ "ldclrab\t\0"
200
414k
  /* 1411 */ "ldeorab\t\0"
201
414k
  /* 1420 */ "casab\t\0"
202
414k
  /* 1427 */ "ldsetab\t\0"
203
414k
  /* 1436 */ "ldsmaxab\t\0"
204
414k
  /* 1446 */ "ldumaxab\t\0"
205
414k
  /* 1456 */ "fmlallbb\t\0"
206
414k
  /* 1466 */ "crc32cb\t\0"
207
414k
  /* 1475 */ "sqdecb\t\0"
208
414k
  /* 1483 */ "uqdecb\t\0"
209
414k
  /* 1491 */ "sqincb\t\0"
210
414k
  /* 1499 */ "uqincb\t\0"
211
414k
  /* 1507 */ "pacdb\t\0"
212
414k
  /* 1514 */ "ldaddb\t\0"
213
414k
  /* 1522 */ "autdb\t\0"
214
414k
  /* 1529 */ "prfb\t\0"
215
414k
  /* 1535 */ "flogb\t\0"
216
414k
  /* 1542 */ "pacib\t\0"
217
414k
  /* 1549 */ "autib\t\0"
218
414k
  /* 1556 */ "brkb\t\0"
219
414k
  /* 1562 */ "sabalb\t\0"
220
414k
  /* 1570 */ "uabalb\t\0"
221
414k
  /* 1578 */ "ldaddalb\t\0"
222
414k
  /* 1588 */ "sqdmlalb\t\0"
223
414k
  /* 1598 */ "bfmlalb\t\0"
224
414k
  /* 1607 */ "smlalb\t\0"
225
414k
  /* 1615 */ "umlalb\t\0"
226
414k
  /* 1623 */ "ldsminalb\t\0"
227
414k
  /* 1634 */ "lduminalb\t\0"
228
414k
  /* 1645 */ "swpalb\t\0"
229
414k
  /* 1653 */ "ldclralb\t\0"
230
414k
  /* 1663 */ "ldeoralb\t\0"
231
414k
  /* 1673 */ "casalb\t\0"
232
414k
  /* 1681 */ "ldsetalb\t\0"
233
414k
  /* 1691 */ "ldsmaxalb\t\0"
234
414k
  /* 1702 */ "ldumaxalb\t\0"
235
414k
  /* 1713 */ "ssublb\t\0"
236
414k
  /* 1721 */ "usublb\t\0"
237
414k
  /* 1729 */ "sbclb\t\0"
238
414k
  /* 1736 */ "adclb\t\0"
239
414k
  /* 1743 */ "sabdlb\t\0"
240
414k
  /* 1751 */ "uabdlb\t\0"
241
414k
  /* 1759 */ "ldaddlb\t\0"
242
414k
  /* 1768 */ "saddlb\t\0"
243
414k
  /* 1776 */ "uaddlb\t\0"
244
414k
  /* 1784 */ "sshllb\t\0"
245
414k
  /* 1792 */ "ushllb\t\0"
246
414k
  /* 1800 */ "sqdmullb\t\0"
247
414k
  /* 1810 */ "pmullb\t\0"
248
414k
  /* 1818 */ "smullb\t\0"
249
414k
  /* 1826 */ "umullb\t\0"
250
414k
  /* 1834 */ "ldsminlb\t\0"
251
414k
  /* 1844 */ "lduminlb\t\0"
252
414k
  /* 1854 */ "swplb\t\0"
253
414k
  /* 1861 */ "ldclrlb\t\0"
254
414k
  /* 1870 */ "ldeorlb\t\0"
255
414k
  /* 1879 */ "caslb\t\0"
256
414k
  /* 1886 */ "sqdmlslb\t\0"
257
414k
  /* 1896 */ "bfmlslb\t\0"
258
414k
  /* 1905 */ "smlslb\t\0"
259
414k
  /* 1913 */ "umlslb\t\0"
260
414k
  /* 1921 */ "ldsetlb\t\0"
261
414k
  /* 1930 */ "ldsmaxlb\t\0"
262
414k
  /* 1940 */ "ldumaxlb\t\0"
263
414k
  /* 1950 */ "dmb\t\0"
264
414k
  /* 1955 */ "rsubhnb\t\0"
265
414k
  /* 1964 */ "raddhnb\t\0"
266
414k
  /* 1973 */ "ldsminb\t\0"
267
414k
  /* 1982 */ "lduminb\t\0"
268
414k
  /* 1991 */ "sqshrnb\t\0"
269
414k
  /* 2000 */ "uqshrnb\t\0"
270
414k
  /* 2009 */ "sqrshrnb\t\0"
271
414k
  /* 2019 */ "uqrshrnb\t\0"
272
414k
  /* 2029 */ "fcvtnb\t\0"
273
414k
  /* 2037 */ "sqxtnb\t\0"
274
414k
  /* 2045 */ "uqxtnb\t\0"
275
414k
  /* 2053 */ "sqshrunb\t\0"
276
414k
  /* 2063 */ "sqrshrunb\t\0"
277
414k
  /* 2074 */ "sqxtunb\t\0"
278
414k
  /* 2083 */ "ld1rob\t\0"
279
414k
  /* 2091 */ "brkpb\t\0"
280
414k
  /* 2098 */ "swpb\t\0"
281
414k
  /* 2104 */ "ld1rqb\t\0"
282
414k
  /* 2112 */ "ld1rb\t\0"
283
414k
  /* 2119 */ "ldarb\t\0"
284
414k
  /* 2126 */ "ldlarb\t\0"
285
414k
  /* 2134 */ "ldrb\t\0"
286
414k
  /* 2140 */ "ldclrb\t\0"
287
414k
  /* 2148 */ "stllrb\t\0"
288
414k
  /* 2156 */ "stlrb\t\0"
289
414k
  /* 2163 */ "ldeorb\t\0"
290
414k
  /* 2171 */ "ldaprb\t\0"
291
414k
  /* 2179 */ "ldtrb\t\0"
292
414k
  /* 2186 */ "strb\t\0"
293
414k
  /* 2192 */ "sttrb\t\0"
294
414k
  /* 2199 */ "ldurb\t\0"
295
414k
  /* 2206 */ "stlurb\t\0"
296
414k
  /* 2214 */ "ldapurb\t\0"
297
414k
  /* 2223 */ "sturb\t\0"
298
414k
  /* 2230 */ "ldaxrb\t\0"
299
414k
  /* 2238 */ "ldxrb\t\0"
300
414k
  /* 2245 */ "stlxrb\t\0"
301
414k
  /* 2253 */ "stxrb\t\0"
302
414k
  /* 2260 */ "ld1sb\t\0"
303
414k
  /* 2267 */ "ldff1sb\t\0"
304
414k
  /* 2276 */ "ldnf1sb\t\0"
305
414k
  /* 2285 */ "ldnt1sb\t\0"
306
414k
  /* 2294 */ "casb\t\0"
307
414k
  /* 2300 */ "dsb\t\0"
308
414k
  /* 2305 */ "isb\t\0"
309
414k
  /* 2310 */ "fmsb\t\0"
310
414k
  /* 2316 */ "fnmsb\t\0"
311
414k
  /* 2323 */ "ld1rsb\t\0"
312
414k
  /* 2331 */ "ldrsb\t\0"
313
414k
  /* 2338 */ "ldtrsb\t\0"
314
414k
  /* 2346 */ "ldursb\t\0"
315
414k
  /* 2354 */ "ldapursb\t\0"
316
414k
  /* 2364 */ "tsb\t\0"
317
414k
  /* 2369 */ "ldsetb\t\0"
318
414k
  /* 2377 */ "ssubltb\t\0"
319
414k
  /* 2386 */ "fmlalltb\t\0"
320
414k
  /* 2396 */ "cntb\t\0"
321
414k
  /* 2402 */ "fvdotb\t\0"
322
414k
  /* 2410 */ "eortb\t\0"
323
414k
  /* 2417 */ "clastb\t\0"
324
414k
  /* 2425 */ "sxtb\t\0"
325
414k
  /* 2431 */ "uxtb\t\0"
326
414k
  /* 2437 */ "bfsub\t\0"
327
414k
  /* 2444 */ "shsub\t\0"
328
414k
  /* 2451 */ "uhsub\t\0"
329
414k
  /* 2458 */ "fmsub\t\0"
330
414k
  /* 2465 */ "fnmsub\t\0"
331
414k
  /* 2473 */ "sqsub\t\0"
332
414k
  /* 2480 */ "uqsub\t\0"
333
414k
  /* 2487 */ "revb\t\0"
334
414k
  /* 2493 */ "ssubwb\t\0"
335
414k
  /* 2501 */ "usubwb\t\0"
336
414k
  /* 2509 */ "saddwb\t\0"
337
414k
  /* 2517 */ "uaddwb\t\0"
338
414k
  /* 2525 */ "ldsmaxb\t\0"
339
414k
  /* 2534 */ "ldumaxb\t\0"
340
414k
  /* 2543 */ "pacdzb\t\0"
341
414k
  /* 2551 */ "autdzb\t\0"
342
414k
  /* 2559 */ "pacizb\t\0"
343
414k
  /* 2567 */ "autizb\t\0"
344
414k
  /* 2575 */ "sha1c\t\0"
345
414k
  /* 2582 */ "sbc\t\0"
346
414k
  /* 2587 */ "adc\t\0"
347
414k
  /* 2592 */ "bic\t\0"
348
414k
  /* 2597 */ "aesimc\t\0"
349
414k
  /* 2605 */ "aesmc\t\0"
350
414k
  /* 2612 */ "csinc\t\0"
351
414k
  /* 2619 */ "retaasppc\t\0"
352
414k
  /* 2630 */ "autiasppc\t\0"
353
414k
  /* 2641 */ "retabsppc\t\0"
354
414k
  /* 2652 */ "autibsppc\t\0"
355
414k
  /* 2663 */ "hvc\t\0"
356
414k
  /* 2668 */ "svc\t\0"
357
414k
  /* 2673 */ "ld1d\t\0"
358
414k
  /* 2679 */ "ldff1d\t\0"
359
414k
  /* 2687 */ "ldnf1d\t\0"
360
414k
  /* 2695 */ "ldnt1d\t\0"
361
414k
  /* 2703 */ "stnt1d\t\0"
362
414k
  /* 2711 */ "st1d\t\0"
363
414k
  /* 2717 */ "ld2d\t\0"
364
414k
  /* 2723 */ "st2d\t\0"
365
414k
  /* 2729 */ "ld3d\t\0"
366
414k
  /* 2735 */ "st3d\t\0"
367
414k
  /* 2741 */ "ld4d\t\0"
368
414k
  /* 2747 */ "st4d\t\0"
369
414k
  /* 2753 */ "fmad\t\0"
370
414k
  /* 2759 */ "fnmad\t\0"
371
414k
  /* 2766 */ "ftmad\t\0"
372
414k
  /* 2773 */ "fabd\t\0"
373
414k
  /* 2779 */ "sabd\t\0"
374
414k
  /* 2785 */ "uabd\t\0"
375
414k
  /* 2791 */ "xpacd\t\0"
376
414k
  /* 2798 */ "sqdecd\t\0"
377
414k
  /* 2806 */ "uqdecd\t\0"
378
414k
  /* 2814 */ "sqincd\t\0"
379
414k
  /* 2822 */ "uqincd\t\0"
380
414k
  /* 2830 */ "fcadd\t\0"
381
414k
  /* 2837 */ "sqcadd\t\0"
382
414k
  /* 2845 */ "ldadd\t\0"
383
414k
  /* 2852 */ "bfadd\t\0"
384
414k
  /* 2859 */ "srhadd\t\0"
385
414k
  /* 2867 */ "urhadd\t\0"
386
414k
  /* 2875 */ "shadd\t\0"
387
414k
  /* 2882 */ "uhadd\t\0"
388
414k
  /* 2889 */ "fmadd\t\0"
389
414k
  /* 2896 */ "fnmadd\t\0"
390
414k
  /* 2904 */ "usqadd\t\0"
391
414k
  /* 2912 */ "suqadd\t\0"
392
414k
  /* 2920 */ "prfd\t\0"
393
414k
  /* 2926 */ "nand\t\0"
394
414k
  /* 2932 */ "ld1rod\t\0"
395
414k
  /* 2940 */ "ld1rqd\t\0"
396
414k
  /* 2948 */ "ld1rd\t\0"
397
414k
  /* 2955 */ "asrd\t\0"
398
414k
  /* 2961 */ "aesd\t\0"
399
414k
  /* 2967 */ "cntd\t\0"
400
414k
  /* 2973 */ "revd\t\0"
401
414k
  /* 2979 */ "sm4e\t\0"
402
414k
  /* 2985 */ "splice\t\0"
403
414k
  /* 2993 */ "facge\t\0"
404
414k
  /* 3000 */ "whilege\t\0"
405
414k
  /* 3009 */ "fcmge\t\0"
406
414k
  /* 3016 */ "cmpge\t\0"
407
414k
  /* 3023 */ "fscale\t\0"
408
414k
  /* 3031 */ "whilele\t\0"
409
414k
  /* 3040 */ "fcmle\t\0"
410
414k
  /* 3047 */ "cmple\t\0"
411
414k
  /* 3054 */ "fcmne\t\0"
412
414k
  /* 3061 */ "ctermne\t\0"
413
414k
  /* 3070 */ "cmpne\t\0"
414
414k
  /* 3077 */ "frecpe\t\0"
415
414k
  /* 3085 */ "urecpe\t\0"
416
414k
  /* 3093 */ "fccmpe\t\0"
417
414k
  /* 3101 */ "fcmpe\t\0"
418
414k
  /* 3108 */ "aese\t\0"
419
414k
  /* 3114 */ "pfalse\t\0"
420
414k
  /* 3122 */ "frsqrte\t\0"
421
414k
  /* 3131 */ "ursqrte\t\0"
422
414k
  /* 3140 */ "ptrue\t\0"
423
414k
  /* 3147 */ "udf\t\0"
424
414k
  /* 3152 */ "bif\t\0"
425
414k
  /* 3157 */ "rmif\t\0"
426
414k
  /* 3163 */ "scvtf\t\0"
427
414k
  /* 3170 */ "ucvtf\t\0"
428
414k
  /* 3177 */ "st2g\t\0"
429
414k
  /* 3183 */ "stz2g\t\0"
430
414k
  /* 3190 */ "subg\t\0"
431
414k
  /* 3196 */ "addg\t\0"
432
414k
  /* 3202 */ "ldg\t\0"
433
414k
  /* 3207 */ "fneg\t\0"
434
414k
  /* 3213 */ "sqneg\t\0"
435
414k
  /* 3220 */ "csneg\t\0"
436
414k
  /* 3227 */ "histseg\t\0"
437
414k
  /* 3236 */ "irg\t\0"
438
414k
  /* 3241 */ "stg\t\0"
439
414k
  /* 3246 */ "stzg\t\0"
440
414k
  /* 3252 */ "sha1h\t\0"
441
414k
  /* 3259 */ "ld1h\t\0"
442
414k
  /* 3265 */ "ldff1h\t\0"
443
414k
  /* 3273 */ "ldnf1h\t\0"
444
414k
  /* 3281 */ "ldnt1h\t\0"
445
414k
  /* 3289 */ "stnt1h\t\0"
446
414k
  /* 3297 */ "st1h\t\0"
447
414k
  /* 3303 */ "sha512h\t\0"
448
414k
  /* 3312 */ "crc32h\t\0"
449
414k
  /* 3320 */ "ld2h\t\0"
450
414k
  /* 3326 */ "st2h\t\0"
451
414k
  /* 3332 */ "ld3h\t\0"
452
414k
  /* 3338 */ "st3h\t\0"
453
414k
  /* 3344 */ "ld4h\t\0"
454
414k
  /* 3350 */ "st4h\t\0"
455
414k
  /* 3356 */ "sha256h\t\0"
456
414k
  /* 3365 */ "ldaddah\t\0"
457
414k
  /* 3374 */ "sqrdcmlah\t\0"
458
414k
  /* 3385 */ "sqrdmlah\t\0"
459
414k
  /* 3395 */ "ldsminah\t\0"
460
414k
  /* 3405 */ "lduminah\t\0"
461
414k
  /* 3415 */ "swpah\t\0"
462
414k
  /* 3422 */ "ldclrah\t\0"
463
414k
  /* 3431 */ "ldeorah\t\0"
464
414k
  /* 3440 */ "casah\t\0"
465
414k
  /* 3447 */ "ldsetah\t\0"
466
414k
  /* 3456 */ "ldsmaxah\t\0"
467
414k
  /* 3466 */ "ldumaxah\t\0"
468
414k
  /* 3476 */ "crc32ch\t\0"
469
414k
  /* 3485 */ "sqdech\t\0"
470
414k
  /* 3493 */ "uqdech\t\0"
471
414k
  /* 3501 */ "sqinch\t\0"
472
414k
  /* 3509 */ "uqinch\t\0"
473
414k
  /* 3517 */ "nmatch\t\0"
474
414k
  /* 3525 */ "ldaddh\t\0"
475
414k
  /* 3533 */ "prfh\t\0"
476
414k
  /* 3539 */ "ldaddalh\t\0"
477
414k
  /* 3549 */ "ldsminalh\t\0"
478
414k
  /* 3560 */ "lduminalh\t\0"
479
414k
  /* 3571 */ "swpalh\t\0"
480
414k
  /* 3579 */ "ldclralh\t\0"
481
414k
  /* 3589 */ "ldeoralh\t\0"
482
414k
  /* 3599 */ "casalh\t\0"
483
414k
  /* 3607 */ "ldsetalh\t\0"
484
414k
  /* 3617 */ "ldsmaxalh\t\0"
485
414k
  /* 3628 */ "ldumaxalh\t\0"
486
414k
  /* 3639 */ "ldaddlh\t\0"
487
414k
  /* 3648 */ "ldsminlh\t\0"
488
414k
  /* 3658 */ "lduminlh\t\0"
489
414k
  /* 3668 */ "swplh\t\0"
490
414k
  /* 3675 */ "ldclrlh\t\0"
491
414k
  /* 3684 */ "ldeorlh\t\0"
492
414k
  /* 3693 */ "caslh\t\0"
493
414k
  /* 3700 */ "ldsetlh\t\0"
494
414k
  /* 3709 */ "sqdmulh\t\0"
495
414k
  /* 3718 */ "sqrdmulh\t\0"
496
414k
  /* 3728 */ "smulh\t\0"
497
414k
  /* 3735 */ "umulh\t\0"
498
414k
  /* 3742 */ "ldsmaxlh\t\0"
499
414k
  /* 3752 */ "ldumaxlh\t\0"
500
414k
  /* 3762 */ "ldsminh\t\0"
501
414k
  /* 3771 */ "lduminh\t\0"
502
414k
  /* 3780 */ "ld1roh\t\0"
503
414k
  /* 3788 */ "swph\t\0"
504
414k
  /* 3794 */ "ld1rqh\t\0"
505
414k
  /* 3802 */ "ld1rh\t\0"
506
414k
  /* 3809 */ "ldarh\t\0"
507
414k
  /* 3816 */ "ldlarh\t\0"
508
414k
  /* 3824 */ "ldrh\t\0"
509
414k
  /* 3830 */ "ldclrh\t\0"
510
414k
  /* 3838 */ "stllrh\t\0"
511
414k
  /* 3846 */ "stlrh\t\0"
512
414k
  /* 3853 */ "ldeorh\t\0"
513
414k
  /* 3861 */ "ldaprh\t\0"
514
414k
  /* 3869 */ "ldtrh\t\0"
515
414k
  /* 3876 */ "strh\t\0"
516
414k
  /* 3882 */ "sttrh\t\0"
517
414k
  /* 3889 */ "ldurh\t\0"
518
414k
  /* 3896 */ "stlurh\t\0"
519
414k
  /* 3904 */ "ldapurh\t\0"
520
414k
  /* 3913 */ "sturh\t\0"
521
414k
  /* 3920 */ "ldaxrh\t\0"
522
414k
  /* 3928 */ "ldxrh\t\0"
523
414k
  /* 3935 */ "stlxrh\t\0"
524
414k
  /* 3943 */ "stxrh\t\0"
525
414k
  /* 3950 */ "ld1sh\t\0"
526
414k
  /* 3957 */ "ldff1sh\t\0"
527
414k
  /* 3966 */ "ldnf1sh\t\0"
528
414k
  /* 3975 */ "ldnt1sh\t\0"
529
414k
  /* 3984 */ "cash\t\0"
530
414k
  /* 3990 */ "sqrdmlsh\t\0"
531
414k
  /* 4000 */ "ld1rsh\t\0"
532
414k
  /* 4008 */ "ldrsh\t\0"
533
414k
  /* 4015 */ "ldtrsh\t\0"
534
414k
  /* 4023 */ "ldursh\t\0"
535
414k
  /* 4031 */ "ldapursh\t\0"
536
414k
  /* 4041 */ "ldseth\t\0"
537
414k
  /* 4049 */ "cnth\t\0"
538
414k
  /* 4055 */ "sxth\t\0"
539
414k
  /* 4061 */ "uxth\t\0"
540
414k
  /* 4067 */ "revh\t\0"
541
414k
  /* 4073 */ "ldsmaxh\t\0"
542
414k
  /* 4082 */ "ldumaxh\t\0"
543
414k
  /* 4091 */ "xpaci\t\0"
544
414k
  /* 4098 */ "whilehi\t\0"
545
414k
  /* 4107 */ "punpkhi\t\0"
546
414k
  /* 4116 */ "sunpkhi\t\0"
547
414k
  /* 4125 */ "uunpkhi\t\0"
548
414k
  /* 4134 */ "cmhi\t\0"
549
414k
  /* 4140 */ "cmphi\t\0"
550
414k
  /* 4147 */ "sli\t\0"
551
414k
  /* 4152 */ "gmi\t\0"
552
414k
  /* 4157 */ "mvni\t\0"
553
414k
  /* 4163 */ "sri\t\0"
554
414k
  /* 4168 */ "frinti\t\0"
555
414k
  /* 4176 */ "movi\t\0"
556
414k
  /* 4182 */ "sunpk\t\0"
557
414k
  /* 4189 */ "uunpk\t\0"
558
414k
  /* 4196 */ "brk\t\0"
559
414k
  /* 4201 */ "movk\t\0"
560
414k
  /* 4207 */ "sabal\t\0"
561
414k
  /* 4214 */ "uabal\t\0"
562
414k
  /* 4221 */ "ldaddal\t\0"
563
414k
  /* 4230 */ "sqdmlal\t\0"
564
414k
  /* 4239 */ "bfmlal\t\0"
565
414k
  /* 4247 */ "smlal\t\0"
566
414k
  /* 4254 */ "umlal\t\0"
567
414k
  /* 4261 */ "ldsminal\t\0"
568
414k
  /* 4271 */ "lduminal\t\0"
569
414k
  /* 4281 */ "rcwsswppal\t\0"
570
414k
  /* 4293 */ "rcwswppal\t\0"
571
414k
  /* 4304 */ "ldclrpal\t\0"
572
414k
  /* 4314 */ "rcwsclrpal\t\0"
573
414k
  /* 4326 */ "rcwclrpal\t\0"
574
414k
  /* 4337 */ "rcwscaspal\t\0"
575
414k
  /* 4349 */ "rcwcaspal\t\0"
576
414k
  /* 4360 */ "ldsetpal\t\0"
577
414k
  /* 4370 */ "rcwssetpal\t\0"
578
414k
  /* 4382 */ "rcwsetpal\t\0"
579
414k
  /* 4393 */ "rcwsswpal\t\0"
580
414k
  /* 4404 */ "rcwswpal\t\0"
581
414k
  /* 4414 */ "ldclral\t\0"
582
414k
  /* 4423 */ "rcwsclral\t\0"
583
414k
  /* 4434 */ "rcwclral\t\0"
584
414k
  /* 4444 */ "ldeoral\t\0"
585
414k
  /* 4453 */ "rcwscasal\t\0"
586
414k
  /* 4464 */ "rcwcasal\t\0"
587
414k
  /* 4474 */ "ldsetal\t\0"
588
414k
  /* 4483 */ "rcwssetal\t\0"
589
414k
  /* 4494 */ "rcwsetal\t\0"
590
414k
  /* 4504 */ "ldsmaxal\t\0"
591
414k
  /* 4514 */ "ldumaxal\t\0"
592
414k
  /* 4524 */ "tbl\t\0"
593
414k
  /* 4529 */ "smsubl\t\0"
594
414k
  /* 4537 */ "umsubl\t\0"
595
414k
  /* 4545 */ "ssubl\t\0"
596
414k
  /* 4552 */ "usubl\t\0"
597
414k
  /* 4559 */ "sabdl\t\0"
598
414k
  /* 4566 */ "uabdl\t\0"
599
414k
  /* 4573 */ "ldaddl\t\0"
600
414k
  /* 4581 */ "smaddl\t\0"
601
414k
  /* 4589 */ "umaddl\t\0"
602
414k
  /* 4597 */ "saddl\t\0"
603
414k
  /* 4604 */ "uaddl\t\0"
604
414k
  /* 4611 */ "tcancel\t\0"
605
414k
  /* 4620 */ "fcsel\t\0"
606
414k
  /* 4627 */ "psel\t\0"
607
414k
  /* 4633 */ "ftssel\t\0"
608
414k
  /* 4641 */ "sqshl\t\0"
609
414k
  /* 4648 */ "uqshl\t\0"
610
414k
  /* 4655 */ "sqrshl\t\0"
611
414k
  /* 4663 */ "uqrshl\t\0"
612
414k
  /* 4671 */ "srshl\t\0"
613
414k
  /* 4678 */ "urshl\t\0"
614
414k
  /* 4685 */ "sshl\t\0"
615
414k
  /* 4691 */ "ushl\t\0"
616
414k
  /* 4697 */ "fmlall\t\0"
617
414k
  /* 4705 */ "usmlall\t\0"
618
414k
  /* 4714 */ "sumlall\t\0"
619
414k
  /* 4723 */ "sshll\t\0"
620
414k
  /* 4730 */ "ushll\t\0"
621
414k
  /* 4737 */ "smlsll\t\0"
622
414k
  /* 4745 */ "umlsll\t\0"
623
414k
  /* 4753 */ "sqdmull\t\0"
624
414k
  /* 4762 */ "pmull\t\0"
625
414k
  /* 4769 */ "smull\t\0"
626
414k
  /* 4776 */ "umull\t\0"
627
414k
  /* 4783 */ "ldsminl\t\0"
628
414k
  /* 4792 */ "lduminl\t\0"
629
414k
  /* 4801 */ "addpl\t\0"
630
414k
  /* 4808 */ "rcwsswppl\t\0"
631
414k
  /* 4819 */ "rcwswppl\t\0"
632
414k
  /* 4829 */ "ldclrpl\t\0"
633
414k
  /* 4838 */ "rcwsclrpl\t\0"
634
414k
  /* 4849 */ "rcwclrpl\t\0"
635
414k
  /* 4859 */ "rcwscaspl\t\0"
636
414k
  /* 4870 */ "rcwcaspl\t\0"
637
414k
  /* 4880 */ "addspl\t\0"
638
414k
  /* 4888 */ "ldsetpl\t\0"
639
414k
  /* 4897 */ "rcwssetpl\t\0"
640
414k
  /* 4908 */ "rcwsetpl\t\0"
641
414k
  /* 4918 */ "rcwsswpl\t\0"
642
414k
  /* 4928 */ "rcwswpl\t\0"
643
414k
  /* 4937 */ "ldclrl\t\0"
644
414k
  /* 4945 */ "rcwsclrl\t\0"
645
414k
  /* 4955 */ "rcwclrl\t\0"
646
414k
  /* 4964 */ "ldeorl\t\0"
647
414k
  /* 4972 */ "rcwscasl\t\0"
648
414k
  /* 4982 */ "rcwcasl\t\0"
649
414k
  /* 4991 */ "nbsl\t\0"
650
414k
  /* 4997 */ "sqdmlsl\t\0"
651
414k
  /* 5006 */ "bfmlsl\t\0"
652
414k
  /* 5014 */ "smlsl\t\0"
653
414k
  /* 5021 */ "umlsl\t\0"
654
414k
  /* 5028 */ "sysl\t\0"
655
414k
  /* 5034 */ "ldsetl\t\0"
656
414k
  /* 5042 */ "rcwssetl\t\0"
657
414k
  /* 5052 */ "rcwsetl\t\0"
658
414k
  /* 5061 */ "bf1cvtl\t\0"
659
414k
  /* 5070 */ "bf2cvtl\t\0"
660
414k
  /* 5079 */ "fcvtl\t\0"
661
414k
  /* 5086 */ "bfmul\t\0"
662
414k
  /* 5093 */ "fnmul\t\0"
663
414k
  /* 5100 */ "pmul\t\0"
664
414k
  /* 5106 */ "ftsmul\t\0"
665
414k
  /* 5114 */ "addvl\t\0"
666
414k
  /* 5121 */ "rdvl\t\0"
667
414k
  /* 5127 */ "addsvl\t\0"
668
414k
  /* 5135 */ "rdsvl\t\0"
669
414k
  /* 5142 */ "ldsmaxl\t\0"
670
414k
  /* 5151 */ "ldumaxl\t\0"
671
414k
  /* 5160 */ "sha1m\t\0"
672
414k
  /* 5167 */ "sbfm\t\0"
673
414k
  /* 5173 */ "ubfm\t\0"
674
414k
  /* 5179 */ "rprfm\t\0"
675
414k
  /* 5186 */ "ldgm\t\0"
676
414k
  /* 5192 */ "stgm\t\0"
677
414k
  /* 5198 */ "stzgm\t\0"
678
414k
  /* 5205 */ "gcspushm\t\0"
679
414k
  /* 5215 */ "bfminnm\t\0"
680
414k
  /* 5224 */ "bfmaxnm\t\0"
681
414k
  /* 5233 */ "gcspopm\t\0"
682
414k
  /* 5242 */ "dupm\t\0"
683
414k
  /* 5248 */ "frintm\t\0"
684
414k
  /* 5256 */ "prfum\t\0"
685
414k
  /* 5263 */ "bsl1n\t\0"
686
414k
  /* 5270 */ "bsl2n\t\0"
687
414k
  /* 5277 */ "rsubhn\t\0"
688
414k
  /* 5285 */ "raddhn\t\0"
689
414k
  /* 5293 */ "famin\t\0"
690
414k
  /* 5300 */ "bfmin\t\0"
691
414k
  /* 5307 */ "ldsmin\t\0"
692
414k
  /* 5315 */ "ldumin\t\0"
693
414k
  /* 5323 */ "brkn\t\0"
694
414k
  /* 5329 */ "ccmn\t\0"
695
414k
  /* 5335 */ "eon\t\0"
696
414k
  /* 5340 */ "sqshrn\t\0"
697
414k
  /* 5348 */ "uqshrn\t\0"
698
414k
  /* 5356 */ "sqrshrn\t\0"
699
414k
  /* 5365 */ "uqrshrn\t\0"
700
414k
  /* 5374 */ "orn\t\0"
701
414k
  /* 5379 */ "frintn\t\0"
702
414k
  /* 5387 */ "bfcvtn\t\0"
703
414k
  /* 5395 */ "sqcvtn\t\0"
704
414k
  /* 5403 */ "uqcvtn\t\0"
705
414k
  /* 5411 */ "sqxtn\t\0"
706
414k
  /* 5418 */ "uqxtn\t\0"
707
414k
  /* 5425 */ "sqshrun\t\0"
708
414k
  /* 5434 */ "sqrshrun\t\0"
709
414k
  /* 5444 */ "sqcvtun\t\0"
710
414k
  /* 5453 */ "sqxtun\t\0"
711
414k
  /* 5461 */ "movn\t\0"
712
414k
  /* 5467 */ "fcvtxn\t\0"
713
414k
  /* 5475 */ "whilelo\t\0"
714
414k
  /* 5484 */ "punpklo\t\0"
715
414k
  /* 5493 */ "sunpklo\t\0"
716
414k
  /* 5502 */ "uunpklo\t\0"
717
414k
  /* 5511 */ "cmplo\t\0"
718
414k
  /* 5518 */ "zero\t\0"
719
414k
  /* 5524 */ "fcmuo\t\0"
720
414k
  /* 5531 */ "sha1p\t\0"
721
414k
  /* 5538 */ "subp\t\0"
722
414k
  /* 5544 */ "sqdecp\t\0"
723
414k
  /* 5552 */ "uqdecp\t\0"
724
414k
  /* 5560 */ "sqincp\t\0"
725
414k
  /* 5568 */ "uqincp\t\0"
726
414k
  /* 5576 */ "faddp\t\0"
727
414k
  /* 5583 */ "ldp\t\0"
728
414k
  /* 5588 */ "bdep\t\0"
729
414k
  /* 5594 */ "stgp\t\0"
730
414k
  /* 5600 */ "zip\t\0"
731
414k
  /* 5605 */ "sadalp\t\0"
732
414k
  /* 5613 */ "uadalp\t\0"
733
414k
  /* 5621 */ "saddlp\t\0"
734
414k
  /* 5629 */ "uaddlp\t\0"
735
414k
  /* 5637 */ "stilp\t\0"
736
414k
  /* 5644 */ "bfclamp\t\0"
737
414k
  /* 5653 */ "sclamp\t\0"
738
414k
  /* 5661 */ "uclamp\t\0"
739
414k
  /* 5669 */ "fccmp\t\0"
740
414k
  /* 5676 */ "fcmp\t\0"
741
414k
  /* 5682 */ "fminnmp\t\0"
742
414k
  /* 5691 */ "fmaxnmp\t\0"
743
414k
  /* 5700 */ "ldnp\t\0"
744
414k
  /* 5706 */ "fminp\t\0"
745
414k
  /* 5713 */ "sminp\t\0"
746
414k
  /* 5720 */ "uminp\t\0"
747
414k
  /* 5727 */ "stnp\t\0"
748
414k
  /* 5733 */ "ldiapp\t\0"
749
414k
  /* 5741 */ "rcwsswpp\t\0"
750
414k
  /* 5751 */ "rcwswpp\t\0"
751
414k
  /* 5760 */ "adrp\t\0"
752
414k
  /* 5766 */ "bgrp\t\0"
753
414k
  /* 5772 */ "ldclrp\t\0"
754
414k
  /* 5780 */ "rcwsclrp\t\0"
755
414k
  /* 5790 */ "rcwclrp\t\0"
756
414k
  /* 5799 */ "rcwscasp\t\0"
757
414k
  /* 5809 */ "rcwcasp\t\0"
758
414k
  /* 5818 */ "sysp\t\0"
759
414k
  /* 5824 */ "ldsetp\t\0"
760
414k
  /* 5832 */ "rcwssetp\t\0"
761
414k
  /* 5842 */ "rcwsetp\t\0"
762
414k
  /* 5851 */ "cntp\t\0"
763
414k
  /* 5857 */ "frintp\t\0"
764
414k
  /* 5865 */ "stp\t\0"
765
414k
  /* 5870 */ "fdup\t\0"
766
414k
  /* 5876 */ "rcwsswp\t\0"
767
414k
  /* 5885 */ "rcwswp\t\0"
768
414k
  /* 5893 */ "ldaxp\t\0"
769
414k
  /* 5900 */ "fmaxp\t\0"
770
414k
  /* 5907 */ "smaxp\t\0"
771
414k
  /* 5914 */ "umaxp\t\0"
772
414k
  /* 5921 */ "ldxp\t\0"
773
414k
  /* 5927 */ "stlxp\t\0"
774
414k
  /* 5934 */ "stxp\t\0"
775
414k
  /* 5940 */ "uzp\t\0"
776
414k
  /* 5945 */ "ld1q\t\0"
777
414k
  /* 5951 */ "st1q\t\0"
778
414k
  /* 5957 */ "ld2q\t\0"
779
414k
  /* 5963 */ "st2q\t\0"
780
414k
  /* 5969 */ "ld3q\t\0"
781
414k
  /* 5975 */ "st3q\t\0"
782
414k
  /* 5981 */ "ld4q\t\0"
783
414k
  /* 5987 */ "st4q\t\0"
784
414k
  /* 5993 */ "fcmeq\t\0"
785
414k
  /* 6000 */ "ctermeq\t\0"
786
414k
  /* 6009 */ "cmpeq\t\0"
787
414k
  /* 6016 */ "tblq\t\0"
788
414k
  /* 6022 */ "dupq\t\0"
789
414k
  /* 6028 */ "extq\t\0"
790
414k
  /* 6034 */ "tbxq\t\0"
791
414k
  /* 6040 */ "ld1r\t\0"
792
414k
  /* 6046 */ "ld2r\t\0"
793
414k
  /* 6052 */ "ld3r\t\0"
794
414k
  /* 6058 */ "ld4r\t\0"
795
414k
  /* 6064 */ "ldar\t\0"
796
414k
  /* 6070 */ "ldlar\t\0"
797
414k
  /* 6077 */ "xar\t\0"
798
414k
  /* 6082 */ "fsubr\t\0"
799
414k
  /* 6089 */ "shsubr\t\0"
800
414k
  /* 6097 */ "uhsubr\t\0"
801
414k
  /* 6105 */ "sqsubr\t\0"
802
414k
  /* 6113 */ "uqsubr\t\0"
803
414k
  /* 6121 */ "adr\t\0"
804
414k
  /* 6126 */ "ldr\t\0"
805
414k
  /* 6131 */ "rdffr\t\0"
806
414k
  /* 6138 */ "wrffr\t\0"
807
414k
  /* 6145 */ "sqrshr\t\0"
808
414k
  /* 6153 */ "uqrshr\t\0"
809
414k
  /* 6161 */ "srshr\t\0"
810
414k
  /* 6168 */ "urshr\t\0"
811
414k
  /* 6175 */ "sshr\t\0"
812
414k
  /* 6181 */ "ushr\t\0"
813
414k
  /* 6187 */ "blr\t\0"
814
414k
  /* 6192 */ "ldclr\t\0"
815
414k
  /* 6199 */ "rcwsclr\t\0"
816
414k
  /* 6208 */ "rcwclr\t\0"
817
414k
  /* 6216 */ "sqshlr\t\0"
818
414k
  /* 6224 */ "uqshlr\t\0"
819
414k
  /* 6232 */ "sqrshlr\t\0"
820
414k
  /* 6241 */ "uqrshlr\t\0"
821
414k
  /* 6250 */ "srshlr\t\0"
822
414k
  /* 6258 */ "urshlr\t\0"
823
414k
  /* 6266 */ "stllr\t\0"
824
414k
  /* 6273 */ "lslr\t\0"
825
414k
  /* 6279 */ "stlr\t\0"
826
414k
  /* 6285 */ "ldeor\t\0"
827
414k
  /* 6292 */ "nor\t\0"
828
414k
  /* 6297 */ "ror\t\0"
829
414k
  /* 6302 */ "ldapr\t\0"
830
414k
  /* 6309 */ "orr\t\0"
831
414k
  /* 6314 */ "asrr\t\0"
832
414k
  /* 6320 */ "lsrr\t\0"
833
414k
  /* 6326 */ "msrr\t\0"
834
414k
  /* 6332 */ "asr\t\0"
835
414k
  /* 6337 */ "lsr\t\0"
836
414k
  /* 6342 */ "msr\t\0"
837
414k
  /* 6347 */ "insr\t\0"
838
414k
  /* 6353 */ "ldtr\t\0"
839
414k
  /* 6359 */ "gcsstr\t\0"
840
414k
  /* 6367 */ "gcssttr\t\0"
841
414k
  /* 6376 */ "extr\t\0"
842
414k
  /* 6382 */ "ldur\t\0"
843
414k
  /* 6388 */ "stlur\t\0"
844
414k
  /* 6395 */ "ldapur\t\0"
845
414k
  /* 6403 */ "stur\t\0"
846
414k
  /* 6409 */ "fdivr\t\0"
847
414k
  /* 6416 */ "sdivr\t\0"
848
414k
  /* 6423 */ "udivr\t\0"
849
414k
  /* 6430 */ "whilewr\t\0"
850
414k
  /* 6439 */ "ldaxr\t\0"
851
414k
  /* 6446 */ "ldxr\t\0"
852
414k
  /* 6452 */ "stlxr\t\0"
853
414k
  /* 6459 */ "stxr\t\0"
854
414k
  /* 6465 */ "rcwscas\t\0"
855
414k
  /* 6474 */ "rcwcas\t\0"
856
414k
  /* 6482 */ "brkas\t\0"
857
414k
  /* 6489 */ "brkpas\t\0"
858
414k
  /* 6497 */ "fcvtas\t\0"
859
414k
  /* 6505 */ "fabs\t\0"
860
414k
  /* 6511 */ "sqabs\t\0"
861
414k
  /* 6518 */ "brkbs\t\0"
862
414k
  /* 6525 */ "brkpbs\t\0"
863
414k
  /* 6533 */ "subs\t\0"
864
414k
  /* 6539 */ "sbcs\t\0"
865
414k
  /* 6545 */ "adcs\t\0"
866
414k
  /* 6551 */ "bics\t\0"
867
414k
  /* 6557 */ "adds\t\0"
868
414k
  /* 6563 */ "nands\t\0"
869
414k
  /* 6570 */ "ptrues\t\0"
870
414k
  /* 6578 */ "whilehs\t\0"
871
414k
  /* 6587 */ "cmhs\t\0"
872
414k
  /* 6593 */ "cmphs\t\0"
873
414k
  /* 6600 */ "cls\t\0"
874
414k
  /* 6605 */ "whilels\t\0"
875
414k
  /* 6614 */ "bfmls\t\0"
876
414k
  /* 6621 */ "fnmls\t\0"
877
414k
  /* 6628 */ "cmpls\t\0"
878
414k
  /* 6635 */ "fcvtms\t\0"
879
414k
  /* 6643 */ "ins\t\0"
880
414k
  /* 6648 */ "brkns\t\0"
881
414k
  /* 6655 */ "orns\t\0"
882
414k
  /* 6661 */ "fcvtns\t\0"
883
414k
  /* 6669 */ "subps\t\0"
884
414k
  /* 6676 */ "frecps\t\0"
885
414k
  /* 6684 */ "bmops\t\0"
886
414k
  /* 6691 */ "bfmops\t\0"
887
414k
  /* 6699 */ "usmops\t\0"
888
414k
  /* 6707 */ "sumops\t\0"
889
414k
  /* 6715 */ "fcvtps\t\0"
890
414k
  /* 6723 */ "rdffrs\t\0"
891
414k
  /* 6731 */ "mrs\t\0"
892
414k
  /* 6736 */ "eors\t\0"
893
414k
  /* 6742 */ "nors\t\0"
894
414k
  /* 6748 */ "mrrs\t\0"
895
414k
  /* 6754 */ "orrs\t\0"
896
414k
  /* 6760 */ "frsqrts\t\0"
897
414k
  /* 6769 */ "sys\t\0"
898
414k
  /* 6774 */ "fcvtzs\t\0"
899
414k
  /* 6782 */ "fjcvtzs\t\0"
900
414k
  /* 6791 */ "sqdmlalbt\t\0"
901
414k
  /* 6802 */ "ssublbt\t\0"
902
414k
  /* 6811 */ "saddlbt\t\0"
903
414k
  /* 6820 */ "fmlallbt\t\0"
904
414k
  /* 6830 */ "sqdmlslbt\t\0"
905
414k
  /* 6841 */ "eorbt\t\0"
906
414k
  /* 6848 */ "compact\t\0"
907
414k
  /* 6857 */ "wfet\t\0"
908
414k
  /* 6863 */ "ret\t\0"
909
414k
  /* 6868 */ "ldset\t\0"
910
414k
  /* 6875 */ "rcwsset\t\0"
911
414k
  /* 6884 */ "rcwset\t\0"
912
414k
  /* 6892 */ "facgt\t\0"
913
414k
  /* 6899 */ "whilegt\t\0"
914
414k
  /* 6908 */ "fcmgt\t\0"
915
414k
  /* 6915 */ "cmpgt\t\0"
916
414k
  /* 6922 */ "rbit\t\0"
917
414k
  /* 6928 */ "trcit\t\0"
918
414k
  /* 6935 */ "wfit\t\0"
919
414k
  /* 6941 */ "sabalt\t\0"
920
414k
  /* 6949 */ "uabalt\t\0"
921
414k
  /* 6957 */ "sqdmlalt\t\0"
922
414k
  /* 6967 */ "bfmlalt\t\0"
923
414k
  /* 6976 */ "smlalt\t\0"
924
414k
  /* 6984 */ "umlalt\t\0"
925
414k
  /* 6992 */ "ssublt\t\0"
926
414k
  /* 7000 */ "usublt\t\0"
927
414k
  /* 7008 */ "sbclt\t\0"
928
414k
  /* 7015 */ "adclt\t\0"
929
414k
  /* 7022 */ "sabdlt\t\0"
930
414k
  /* 7030 */ "uabdlt\t\0"
931
414k
  /* 7038 */ "saddlt\t\0"
932
414k
  /* 7046 */ "uaddlt\t\0"
933
414k
  /* 7054 */ "whilelt\t\0"
934
414k
  /* 7063 */ "hlt\t\0"
935
414k
  /* 7068 */ "sshllt\t\0"
936
414k
  /* 7076 */ "ushllt\t\0"
937
414k
  /* 7084 */ "sqdmullt\t\0"
938
414k
  /* 7094 */ "pmullt\t\0"
939
414k
  /* 7102 */ "smullt\t\0"
940
414k
  /* 7110 */ "umullt\t\0"
941
414k
  /* 7118 */ "fcmlt\t\0"
942
414k
  /* 7125 */ "cmplt\t\0"
943
414k
  /* 7132 */ "sqdmlslt\t\0"
944
414k
  /* 7142 */ "bfmlslt\t\0"
945
414k
  /* 7151 */ "smlslt\t\0"
946
414k
  /* 7159 */ "umlslt\t\0"
947
414k
  /* 7167 */ "bf1cvtlt\t\0"
948
414k
  /* 7177 */ "bf2cvtlt\t\0"
949
414k
  /* 7187 */ "fcvtlt\t\0"
950
414k
  /* 7195 */ "histcnt\t\0"
951
414k
  /* 7204 */ "rsubhnt\t\0"
952
414k
  /* 7213 */ "raddhnt\t\0"
953
414k
  /* 7222 */ "hint\t\0"
954
414k
  /* 7228 */ "sqshrnt\t\0"
955
414k
  /* 7237 */ "uqshrnt\t\0"
956
414k
  /* 7246 */ "sqrshrnt\t\0"
957
414k
  /* 7256 */ "uqrshrnt\t\0"
958
414k
  /* 7266 */ "bfcvtnt\t\0"
959
414k
  /* 7275 */ "sqxtnt\t\0"
960
414k
  /* 7283 */ "uqxtnt\t\0"
961
414k
  /* 7291 */ "sqshrunt\t\0"
962
414k
  /* 7301 */ "sqrshrunt\t\0"
963
414k
  /* 7312 */ "sqxtunt\t\0"
964
414k
  /* 7321 */ "fcvtxnt\t\0"
965
414k
  /* 7330 */ "cdot\t\0"
966
414k
  /* 7336 */ "bfdot\t\0"
967
414k
  /* 7343 */ "usdot\t\0"
968
414k
  /* 7350 */ "sudot\t\0"
969
414k
  /* 7357 */ "bfvdot\t\0"
970
414k
  /* 7365 */ "usvdot\t\0"
971
414k
  /* 7373 */ "suvdot\t\0"
972
414k
  /* 7381 */ "cnot\t\0"
973
414k
  /* 7387 */ "mlapt\t\0"
974
414k
  /* 7394 */ "msubpt\t\0"
975
414k
  /* 7402 */ "madpt\t\0"
976
414k
  /* 7409 */ "maddpt\t\0"
977
414k
  /* 7417 */ "tstart\t\0"
978
414k
  /* 7425 */ "fsqrt\t\0"
979
414k
  /* 7432 */ "ptest\t\0"
980
414k
  /* 7439 */ "ttest\t\0"
981
414k
  /* 7446 */ "pfirst\t\0"
982
414k
  /* 7454 */ "cmtst\t\0"
983
414k
  /* 7461 */ "fmlalltt\t\0"
984
414k
  /* 7471 */ "fvdott\t\0"
985
414k
  /* 7479 */ "bf1cvt\t\0"
986
414k
  /* 7487 */ "bf2cvt\t\0"
987
414k
  /* 7495 */ "bfcvt\t\0"
988
414k
  /* 7502 */ "sqcvt\t\0"
989
414k
  /* 7509 */ "uqcvt\t\0"
990
414k
  /* 7516 */ "movt\t\0"
991
414k
  /* 7522 */ "ssubwt\t\0"
992
414k
  /* 7530 */ "usubwt\t\0"
993
414k
  /* 7538 */ "saddwt\t\0"
994
414k
  /* 7546 */ "uaddwt\t\0"
995
414k
  /* 7554 */ "bext\t\0"
996
414k
  /* 7560 */ "pnext\t\0"
997
414k
  /* 7567 */ "pext\t\0"
998
414k
  /* 7573 */ "fcvtau\t\0"
999
414k
  /* 7581 */ "sqshlu\t\0"
1000
414k
  /* 7589 */ "fcvtmu\t\0"
1001
414k
  /* 7597 */ "fcvtnu\t\0"
1002
414k
  /* 7605 */ "fcvtpu\t\0"
1003
414k
  /* 7613 */ "sqrshru\t\0"
1004
414k
  /* 7622 */ "sqcvtu\t\0"
1005
414k
  /* 7630 */ "fcvtzu\t\0"
1006
414k
  /* 7638 */ "st64bv\t\0"
1007
414k
  /* 7646 */ "faddv\t\0"
1008
414k
  /* 7653 */ "saddv\t\0"
1009
414k
  /* 7660 */ "uaddv\t\0"
1010
414k
  /* 7667 */ "andv\t\0"
1011
414k
  /* 7673 */ "rev\t\0"
1012
414k
  /* 7678 */ "fdiv\t\0"
1013
414k
  /* 7684 */ "sdiv\t\0"
1014
414k
  /* 7690 */ "udiv\t\0"
1015
414k
  /* 7696 */ "saddlv\t\0"
1016
414k
  /* 7704 */ "uaddlv\t\0"
1017
414k
  /* 7712 */ "fminnmv\t\0"
1018
414k
  /* 7721 */ "fmaxnmv\t\0"
1019
414k
  /* 7730 */ "fminv\t\0"
1020
414k
  /* 7737 */ "sminv\t\0"
1021
414k
  /* 7744 */ "uminv\t\0"
1022
414k
  /* 7751 */ "csinv\t\0"
1023
414k
  /* 7758 */ "fmov\t\0"
1024
414k
  /* 7764 */ "pmov\t\0"
1025
414k
  /* 7770 */ "smov\t\0"
1026
414k
  /* 7776 */ "umov\t\0"
1027
414k
  /* 7782 */ "faddqv\t\0"
1028
414k
  /* 7790 */ "andqv\t\0"
1029
414k
  /* 7797 */ "fminnmqv\t\0"
1030
414k
  /* 7807 */ "fmaxnmqv\t\0"
1031
414k
  /* 7817 */ "fminqv\t\0"
1032
414k
  /* 7825 */ "sminqv\t\0"
1033
414k
  /* 7833 */ "uminqv\t\0"
1034
414k
  /* 7841 */ "eorqv\t\0"
1035
414k
  /* 7848 */ "fmaxqv\t\0"
1036
414k
  /* 7856 */ "smaxqv\t\0"
1037
414k
  /* 7864 */ "umaxqv\t\0"
1038
414k
  /* 7872 */ "eorv\t\0"
1039
414k
  /* 7878 */ "fmaxv\t\0"
1040
414k
  /* 7885 */ "smaxv\t\0"
1041
414k
  /* 7892 */ "umaxv\t\0"
1042
414k
  /* 7899 */ "ld1w\t\0"
1043
414k
  /* 7905 */ "ldff1w\t\0"
1044
414k
  /* 7913 */ "ldnf1w\t\0"
1045
414k
  /* 7921 */ "ldnt1w\t\0"
1046
414k
  /* 7929 */ "stnt1w\t\0"
1047
414k
  /* 7937 */ "st1w\t\0"
1048
414k
  /* 7943 */ "crc32w\t\0"
1049
414k
  /* 7951 */ "ld2w\t\0"
1050
414k
  /* 7957 */ "st2w\t\0"
1051
414k
  /* 7963 */ "ld3w\t\0"
1052
414k
  /* 7969 */ "st3w\t\0"
1053
414k
  /* 7975 */ "ld4w\t\0"
1054
414k
  /* 7981 */ "st4w\t\0"
1055
414k
  /* 7987 */ "ssubw\t\0"
1056
414k
  /* 7994 */ "usubw\t\0"
1057
414k
  /* 8001 */ "crc32cw\t\0"
1058
414k
  /* 8010 */ "sqdecw\t\0"
1059
414k
  /* 8018 */ "uqdecw\t\0"
1060
414k
  /* 8026 */ "sqincw\t\0"
1061
414k
  /* 8034 */ "uqincw\t\0"
1062
414k
  /* 8042 */ "saddw\t\0"
1063
414k
  /* 8049 */ "uaddw\t\0"
1064
414k
  /* 8056 */ "prfw\t\0"
1065
414k
  /* 8062 */ "ld1row\t\0"
1066
414k
  /* 8070 */ "ld1rqw\t\0"
1067
414k
  /* 8078 */ "ld1rw\t\0"
1068
414k
  /* 8085 */ "whilerw\t\0"
1069
414k
  /* 8094 */ "ld1sw\t\0"
1070
414k
  /* 8101 */ "ldff1sw\t\0"
1071
414k
  /* 8110 */ "ldnf1sw\t\0"
1072
414k
  /* 8119 */ "ldnt1sw\t\0"
1073
414k
  /* 8128 */ "ldpsw\t\0"
1074
414k
  /* 8135 */ "ld1rsw\t\0"
1075
414k
  /* 8143 */ "ldrsw\t\0"
1076
414k
  /* 8150 */ "ldtrsw\t\0"
1077
414k
  /* 8158 */ "ldursw\t\0"
1078
414k
  /* 8166 */ "ldapursw\t\0"
1079
414k
  /* 8176 */ "cntw\t\0"
1080
414k
  /* 8182 */ "sxtw\t\0"
1081
414k
  /* 8188 */ "uxtw\t\0"
1082
414k
  /* 8194 */ "revw\t\0"
1083
414k
  /* 8200 */ "crc32x\t\0"
1084
414k
  /* 8208 */ "frint32x\t\0"
1085
414k
  /* 8218 */ "frint64x\t\0"
1086
414k
  /* 8228 */ "bcax\t\0"
1087
414k
  /* 8234 */ "famax\t\0"
1088
414k
  /* 8241 */ "bfmax\t\0"
1089
414k
  /* 8248 */ "ldsmax\t\0"
1090
414k
  /* 8256 */ "ldumax\t\0"
1091
414k
  /* 8264 */ "tbx\t\0"
1092
414k
  /* 8269 */ "crc32cx\t\0"
1093
414k
  /* 8278 */ "index\t\0"
1094
414k
  /* 8285 */ "clrex\t\0"
1095
414k
  /* 8292 */ "movprfx\t\0"
1096
414k
  /* 8301 */ "fmulx\t\0"
1097
414k
  /* 8308 */ "frecpx\t\0"
1098
414k
  /* 8316 */ "frintx\t\0"
1099
414k
  /* 8324 */ "fcvtx\t\0"
1100
414k
  /* 8331 */ "sm4ekey\t\0"
1101
414k
  /* 8340 */ "fcpy\t\0"
1102
414k
  /* 8346 */ "frint32z\t\0"
1103
414k
  /* 8356 */ "frint64z\t\0"
1104
414k
  /* 8366 */ "braaz\t\0"
1105
414k
  /* 8373 */ "blraaz\t\0"
1106
414k
  /* 8381 */ "movaz\t\0"
1107
414k
  /* 8388 */ "brabz\t\0"
1108
414k
  /* 8395 */ "blrabz\t\0"
1109
414k
  /* 8403 */ "cbz\t\0"
1110
414k
  /* 8408 */ "tbz\t\0"
1111
414k
  /* 8413 */ "clz\t\0"
1112
414k
  /* 8418 */ "cbnz\t\0"
1113
414k
  /* 8424 */ "tbnz\t\0"
1114
414k
  /* 8430 */ "ctz\t\0"
1115
414k
  /* 8435 */ "frintz\t\0"
1116
414k
  /* 8443 */ "movz\t\0"
1117
414k
  /* 8449 */ ".tlsdesccall \0"
1118
414k
  /* 8463 */ "zero\t{ \0"
1119
414k
  /* 8471 */ "# XRay Function Patchable RET.\0"
1120
414k
  /* 8502 */ "b.\0"
1121
414k
  /* 8505 */ "bc.\0"
1122
414k
  /* 8509 */ "# XRay Typed Event Log.\0"
1123
414k
  /* 8533 */ "# XRay Custom Event Log.\0"
1124
414k
  /* 8558 */ "# XRay Function Enter.\0"
1125
414k
  /* 8581 */ "# XRay Tail Call Exit.\0"
1126
414k
  /* 8604 */ "# XRay Function Exit.\0"
1127
414k
  /* 8626 */ "hint\t#10\0"
1128
414k
  /* 8635 */ "hint\t#30\0"
1129
414k
  /* 8644 */ "hint\t#40\0"
1130
414k
  /* 8653 */ "hint\t#31\0"
1131
414k
  /* 8662 */ "hint\t#12\0"
1132
414k
  /* 8671 */ "hint\t#14\0"
1133
414k
  /* 8680 */ "hint\t#24\0"
1134
414k
  /* 8689 */ "pacia171615\0"
1135
414k
  /* 8701 */ "autia171615\0"
1136
414k
  /* 8713 */ "pacib171615\0"
1137
414k
  /* 8725 */ "autib171615\0"
1138
414k
  /* 8737 */ "hint\t#25\0"
1139
414k
  /* 8746 */ "hint\t#26\0"
1140
414k
  /* 8755 */ "hint\t#7\0"
1141
414k
  /* 8763 */ "hint\t#27\0"
1142
414k
  /* 8772 */ "hint\t#8\0"
1143
414k
  /* 8780 */ "hint\t#28\0"
1144
414k
  /* 8789 */ "hint\t#29\0"
1145
414k
  /* 8798 */ "hint\t#39\0"
1146
414k
  /* 8807 */ "LIFETIME_END\0"
1147
414k
  /* 8820 */ "PSEUDO_PROBE\0"
1148
414k
  /* 8833 */ "BUNDLE\0"
1149
414k
  /* 8840 */ "DBG_VALUE\0"
1150
414k
  /* 8850 */ "DBG_INSTR_REF\0"
1151
414k
  /* 8864 */ "DBG_PHI\0"
1152
414k
  /* 8872 */ "DBG_LABEL\0"
1153
414k
  /* 8882 */ "LIFETIME_START\0"
1154
414k
  /* 8897 */ "DBG_VALUE_LIST\0"
1155
414k
  /* 8912 */ "cpyfe\t[\0"
1156
414k
  /* 8920 */ "setge\t[\0"
1157
414k
  /* 8928 */ "sete\t[\0"
1158
414k
  /* 8935 */ "cpye\t[\0"
1159
414k
  /* 8942 */ "cpyfm\t[\0"
1160
414k
  /* 8950 */ "setgm\t[\0"
1161
414k
  /* 8958 */ "setm\t[\0"
1162
414k
  /* 8965 */ "cpym\t[\0"
1163
414k
  /* 8972 */ "cpyfen\t[\0"
1164
414k
  /* 8981 */ "setgen\t[\0"
1165
414k
  /* 8990 */ "seten\t[\0"
1166
414k
  /* 8998 */ "cpyen\t[\0"
1167
414k
  /* 9006 */ "cpyfmn\t[\0"
1168
414k
  /* 9015 */ "setgmn\t[\0"
1169
414k
  /* 9024 */ "setmn\t[\0"
1170
414k
  /* 9032 */ "cpymn\t[\0"
1171
414k
  /* 9040 */ "cpyfpn\t[\0"
1172
414k
  /* 9049 */ "setgpn\t[\0"
1173
414k
  /* 9058 */ "setpn\t[\0"
1174
414k
  /* 9066 */ "cpypn\t[\0"
1175
414k
  /* 9074 */ "cpyfern\t[\0"
1176
414k
  /* 9084 */ "cpyern\t[\0"
1177
414k
  /* 9093 */ "cpyfmrn\t[\0"
1178
414k
  /* 9103 */ "cpymrn\t[\0"
1179
414k
  /* 9112 */ "cpyfprn\t[\0"
1180
414k
  /* 9122 */ "cpyprn\t[\0"
1181
414k
  /* 9131 */ "cpyfetrn\t[\0"
1182
414k
  /* 9142 */ "cpyetrn\t[\0"
1183
414k
  /* 9152 */ "cpyfmtrn\t[\0"
1184
414k
  /* 9163 */ "cpymtrn\t[\0"
1185
414k
  /* 9173 */ "cpyfptrn\t[\0"
1186
414k
  /* 9184 */ "cpyptrn\t[\0"
1187
414k
  /* 9194 */ "cpyfertrn\t[\0"
1188
414k
  /* 9206 */ "cpyertrn\t[\0"
1189
414k
  /* 9217 */ "cpyfmrtrn\t[\0"
1190
414k
  /* 9229 */ "cpymrtrn\t[\0"
1191
414k
  /* 9240 */ "cpyfprtrn\t[\0"
1192
414k
  /* 9252 */ "cpyprtrn\t[\0"
1193
414k
  /* 9263 */ "cpyfewtrn\t[\0"
1194
414k
  /* 9275 */ "cpyewtrn\t[\0"
1195
414k
  /* 9286 */ "cpyfmwtrn\t[\0"
1196
414k
  /* 9298 */ "cpymwtrn\t[\0"
1197
414k
  /* 9309 */ "cpyfpwtrn\t[\0"
1198
414k
  /* 9321 */ "cpypwtrn\t[\0"
1199
414k
  /* 9332 */ "cpyfetn\t[\0"
1200
414k
  /* 9342 */ "setgetn\t[\0"
1201
414k
  /* 9352 */ "setetn\t[\0"
1202
414k
  /* 9361 */ "cpyetn\t[\0"
1203
414k
  /* 9370 */ "cpyfmtn\t[\0"
1204
414k
  /* 9380 */ "setgmtn\t[\0"
1205
414k
  /* 9390 */ "setmtn\t[\0"
1206
414k
  /* 9399 */ "cpymtn\t[\0"
1207
414k
  /* 9408 */ "cpyfptn\t[\0"
1208
414k
  /* 9418 */ "setgptn\t[\0"
1209
414k
  /* 9428 */ "setptn\t[\0"
1210
414k
  /* 9437 */ "cpyptn\t[\0"
1211
414k
  /* 9446 */ "cpyfertn\t[\0"
1212
414k
  /* 9457 */ "cpyertn\t[\0"
1213
414k
  /* 9467 */ "cpyfmrtn\t[\0"
1214
414k
  /* 9478 */ "cpymrtn\t[\0"
1215
414k
  /* 9488 */ "cpyfprtn\t[\0"
1216
414k
  /* 9499 */ "cpyprtn\t[\0"
1217
414k
  /* 9509 */ "cpyfewtn\t[\0"
1218
414k
  /* 9520 */ "cpyewtn\t[\0"
1219
414k
  /* 9530 */ "cpyfmwtn\t[\0"
1220
414k
  /* 9541 */ "cpymwtn\t[\0"
1221
414k
  /* 9551 */ "cpyfpwtn\t[\0"
1222
414k
  /* 9562 */ "cpypwtn\t[\0"
1223
414k
  /* 9572 */ "cpyfewn\t[\0"
1224
414k
  /* 9582 */ "cpyewn\t[\0"
1225
414k
  /* 9591 */ "cpyfmwn\t[\0"
1226
414k
  /* 9601 */ "cpymwn\t[\0"
1227
414k
  /* 9610 */ "cpyfpwn\t[\0"
1228
414k
  /* 9620 */ "cpypwn\t[\0"
1229
414k
  /* 9629 */ "cpyfetwn\t[\0"
1230
414k
  /* 9640 */ "cpyetwn\t[\0"
1231
414k
  /* 9650 */ "cpyfmtwn\t[\0"
1232
414k
  /* 9661 */ "cpymtwn\t[\0"
1233
414k
  /* 9671 */ "cpyfptwn\t[\0"
1234
414k
  /* 9682 */ "cpyptwn\t[\0"
1235
414k
  /* 9692 */ "cpyfertwn\t[\0"
1236
414k
  /* 9704 */ "cpyertwn\t[\0"
1237
414k
  /* 9715 */ "cpyfmrtwn\t[\0"
1238
414k
  /* 9727 */ "cpymrtwn\t[\0"
1239
414k
  /* 9738 */ "cpyfprtwn\t[\0"
1240
414k
  /* 9750 */ "cpyprtwn\t[\0"
1241
414k
  /* 9761 */ "cpyfewtwn\t[\0"
1242
414k
  /* 9773 */ "cpyewtwn\t[\0"
1243
414k
  /* 9784 */ "cpyfmwtwn\t[\0"
1244
414k
  /* 9796 */ "cpymwtwn\t[\0"
1245
414k
  /* 9807 */ "cpyfpwtwn\t[\0"
1246
414k
  /* 9819 */ "cpypwtwn\t[\0"
1247
414k
  /* 9830 */ "cpyfp\t[\0"
1248
414k
  /* 9838 */ "setgp\t[\0"
1249
414k
  /* 9846 */ "setp\t[\0"
1250
414k
  /* 9853 */ "cpyp\t[\0"
1251
414k
  /* 9860 */ "cpyfet\t[\0"
1252
414k
  /* 9869 */ "setget\t[\0"
1253
414k
  /* 9878 */ "setet\t[\0"
1254
414k
  /* 9886 */ "cpyet\t[\0"
1255
414k
  /* 9894 */ "cpyfmt\t[\0"
1256
414k
  /* 9903 */ "setgmt\t[\0"
1257
414k
  /* 9912 */ "setmt\t[\0"
1258
414k
  /* 9920 */ "cpymt\t[\0"
1259
414k
  /* 9928 */ "cpyfpt\t[\0"
1260
414k
  /* 9937 */ "setgpt\t[\0"
1261
414k
  /* 9946 */ "setpt\t[\0"
1262
414k
  /* 9954 */ "cpypt\t[\0"
1263
414k
  /* 9962 */ "cpyfert\t[\0"
1264
414k
  /* 9972 */ "cpyert\t[\0"
1265
414k
  /* 9981 */ "cpyfmrt\t[\0"
1266
414k
  /* 9991 */ "cpymrt\t[\0"
1267
414k
  /* 10000 */ "cpyfprt\t[\0"
1268
414k
  /* 10010 */ "cpyprt\t[\0"
1269
414k
  /* 10019 */ "cpyfewt\t[\0"
1270
414k
  /* 10029 */ "cpyewt\t[\0"
1271
414k
  /* 10038 */ "cpyfmwt\t[\0"
1272
414k
  /* 10048 */ "cpymwt\t[\0"
1273
414k
  /* 10057 */ "cpyfpwt\t[\0"
1274
414k
  /* 10067 */ "cpypwt\t[\0"
1275
414k
  /* 10076 */ "eretaa\0"
1276
414k
  /* 10083 */ "eretab\0"
1277
414k
  /* 10090 */ "sb\0"
1278
414k
  /* 10093 */ "pacnbiasppc\0"
1279
414k
  /* 10105 */ "paciasppc\0"
1280
414k
  /* 10115 */ "pacnbibsppc\0"
1281
414k
  /* 10127 */ "pacibsppc\0"
1282
414k
  /* 10137 */ "xaflag\0"
1283
414k
  /* 10144 */ "axflag\0"
1284
414k
  /* 10151 */ "brb\tinj\0"
1285
414k
  /* 10159 */ "# FEntry call\0"
1286
414k
  /* 10173 */ "brb\tiall\0"
1287
414k
  /* 10182 */ "setffr\0"
1288
414k
  /* 10189 */ "drps\0"
1289
414k
  /* 10194 */ "eret\0"
1290
414k
  /* 10199 */ "tcommit\0"
1291
414k
  /* 10207 */ "cfinv\0"
1292
414k
  /* 10213 */ "gcspopcx\0"
1293
414k
  /* 10222 */ "gcspushx\0"
1294
414k
  /* 10231 */ "gcspopx\0"
1295
414k
  /* 10239 */ "ld1b\t{\0"
1296
414k
  /* 10246 */ "st1b\t{\0"
1297
414k
  /* 10253 */ "ld1d\t{\0"
1298
414k
  /* 10260 */ "st1d\t{\0"
1299
414k
  /* 10267 */ "ld1h\t{\0"
1300
414k
  /* 10274 */ "st1h\t{\0"
1301
414k
  /* 10281 */ "ld1q\t{\0"
1302
414k
  /* 10288 */ "st1q\t{\0"
1303
414k
  /* 10295 */ "ld1w\t{\0"
1304
414k
  /* 10302 */ "st1w\t{\0"
1305
414k
};
1306
414k
#endif // CAPSTONE_DIET
1307
1308
414k
  static const uint32_t OpInfo0[] = {
1309
414k
    0U, // PHI
1310
414k
    0U, // INLINEASM
1311
414k
    0U, // INLINEASM_BR
1312
414k
    0U, // CFI_INSTRUCTION
1313
414k
    0U, // EH_LABEL
1314
414k
    0U, // GC_LABEL
1315
414k
    0U, // ANNOTATION_LABEL
1316
414k
    0U, // KILL
1317
414k
    0U, // EXTRACT_SUBREG
1318
414k
    0U, // INSERT_SUBREG
1319
414k
    0U, // IMPLICIT_DEF
1320
414k
    0U, // SUBREG_TO_REG
1321
414k
    0U, // COPY_TO_REGCLASS
1322
414k
    8841U,  // DBG_VALUE
1323
414k
    8898U,  // DBG_VALUE_LIST
1324
414k
    8851U,  // DBG_INSTR_REF
1325
414k
    8865U,  // DBG_PHI
1326
414k
    8873U,  // DBG_LABEL
1327
414k
    0U, // REG_SEQUENCE
1328
414k
    0U, // COPY
1329
414k
    8834U,  // BUNDLE
1330
414k
    8883U,  // LIFETIME_START
1331
414k
    8808U,  // LIFETIME_END
1332
414k
    8821U,  // PSEUDO_PROBE
1333
414k
    0U, // ARITH_FENCE
1334
414k
    0U, // STACKMAP
1335
414k
    10160U, // FENTRY_CALL
1336
414k
    0U, // PATCHPOINT
1337
414k
    0U, // LOAD_STACK_GUARD
1338
414k
    0U, // PREALLOCATED_SETUP
1339
414k
    0U, // PREALLOCATED_ARG
1340
414k
    0U, // STATEPOINT
1341
414k
    0U, // LOCAL_ESCAPE
1342
414k
    0U, // FAULTING_OP
1343
414k
    0U, // PATCHABLE_OP
1344
414k
    8559U,  // PATCHABLE_FUNCTION_ENTER
1345
414k
    8472U,  // PATCHABLE_RET
1346
414k
    8605U,  // PATCHABLE_FUNCTION_EXIT
1347
414k
    8582U,  // PATCHABLE_TAIL_CALL
1348
414k
    8534U,  // PATCHABLE_EVENT_CALL
1349
414k
    8510U,  // PATCHABLE_TYPED_EVENT_CALL
1350
414k
    0U, // ICALL_BRANCH_FUNNEL
1351
414k
    0U, // MEMBARRIER
1352
414k
    0U, // JUMP_TABLE_DEBUG_INFO
1353
414k
    0U, // G_ASSERT_SEXT
1354
414k
    0U, // G_ASSERT_ZEXT
1355
414k
    0U, // G_ASSERT_ALIGN
1356
414k
    0U, // G_ADD
1357
414k
    0U, // G_SUB
1358
414k
    0U, // G_MUL
1359
414k
    0U, // G_SDIV
1360
414k
    0U, // G_UDIV
1361
414k
    0U, // G_SREM
1362
414k
    0U, // G_UREM
1363
414k
    0U, // G_SDIVREM
1364
414k
    0U, // G_UDIVREM
1365
414k
    0U, // G_AND
1366
414k
    0U, // G_OR
1367
414k
    0U, // G_XOR
1368
414k
    0U, // G_IMPLICIT_DEF
1369
414k
    0U, // G_PHI
1370
414k
    0U, // G_FRAME_INDEX
1371
414k
    0U, // G_GLOBAL_VALUE
1372
414k
    0U, // G_CONSTANT_POOL
1373
414k
    0U, // G_EXTRACT
1374
414k
    0U, // G_UNMERGE_VALUES
1375
414k
    0U, // G_INSERT
1376
414k
    0U, // G_MERGE_VALUES
1377
414k
    0U, // G_BUILD_VECTOR
1378
414k
    0U, // G_BUILD_VECTOR_TRUNC
1379
414k
    0U, // G_CONCAT_VECTORS
1380
414k
    0U, // G_PTRTOINT
1381
414k
    0U, // G_INTTOPTR
1382
414k
    0U, // G_BITCAST
1383
414k
    0U, // G_FREEZE
1384
414k
    0U, // G_CONSTANT_FOLD_BARRIER
1385
414k
    0U, // G_INTRINSIC_FPTRUNC_ROUND
1386
414k
    0U, // G_INTRINSIC_TRUNC
1387
414k
    0U, // G_INTRINSIC_ROUND
1388
414k
    0U, // G_INTRINSIC_LRINT
1389
414k
    0U, // G_INTRINSIC_ROUNDEVEN
1390
414k
    0U, // G_READCYCLECOUNTER
1391
414k
    0U, // G_LOAD
1392
414k
    0U, // G_SEXTLOAD
1393
414k
    0U, // G_ZEXTLOAD
1394
414k
    0U, // G_INDEXED_LOAD
1395
414k
    0U, // G_INDEXED_SEXTLOAD
1396
414k
    0U, // G_INDEXED_ZEXTLOAD
1397
414k
    0U, // G_STORE
1398
414k
    0U, // G_INDEXED_STORE
1399
414k
    0U, // G_ATOMIC_CMPXCHG_WITH_SUCCESS
1400
414k
    0U, // G_ATOMIC_CMPXCHG
1401
414k
    0U, // G_ATOMICRMW_XCHG
1402
414k
    0U, // G_ATOMICRMW_ADD
1403
414k
    0U, // G_ATOMICRMW_SUB
1404
414k
    0U, // G_ATOMICRMW_AND
1405
414k
    0U, // G_ATOMICRMW_NAND
1406
414k
    0U, // G_ATOMICRMW_OR
1407
414k
    0U, // G_ATOMICRMW_XOR
1408
414k
    0U, // G_ATOMICRMW_MAX
1409
414k
    0U, // G_ATOMICRMW_MIN
1410
414k
    0U, // G_ATOMICRMW_UMAX
1411
414k
    0U, // G_ATOMICRMW_UMIN
1412
414k
    0U, // G_ATOMICRMW_FADD
1413
414k
    0U, // G_ATOMICRMW_FSUB
1414
414k
    0U, // G_ATOMICRMW_FMAX
1415
414k
    0U, // G_ATOMICRMW_FMIN
1416
414k
    0U, // G_ATOMICRMW_UINC_WRAP
1417
414k
    0U, // G_ATOMICRMW_UDEC_WRAP
1418
414k
    0U, // G_FENCE
1419
414k
    0U, // G_PREFETCH
1420
414k
    0U, // G_BRCOND
1421
414k
    0U, // G_BRINDIRECT
1422
414k
    0U, // G_INVOKE_REGION_START
1423
414k
    0U, // G_INTRINSIC
1424
414k
    0U, // G_INTRINSIC_W_SIDE_EFFECTS
1425
414k
    0U, // G_INTRINSIC_CONVERGENT
1426
414k
    0U, // G_INTRINSIC_CONVERGENT_W_SIDE_EFFECTS
1427
414k
    0U, // G_ANYEXT
1428
414k
    0U, // G_TRUNC
1429
414k
    0U, // G_CONSTANT
1430
414k
    0U, // G_FCONSTANT
1431
414k
    0U, // G_VASTART
1432
414k
    0U, // G_VAARG
1433
414k
    0U, // G_SEXT
1434
414k
    0U, // G_SEXT_INREG
1435
414k
    0U, // G_ZEXT
1436
414k
    0U, // G_SHL
1437
414k
    0U, // G_LSHR
1438
414k
    0U, // G_ASHR
1439
414k
    0U, // G_FSHL
1440
414k
    0U, // G_FSHR
1441
414k
    0U, // G_ROTR
1442
414k
    0U, // G_ROTL
1443
414k
    0U, // G_ICMP
1444
414k
    0U, // G_FCMP
1445
414k
    0U, // G_SELECT
1446
414k
    0U, // G_UADDO
1447
414k
    0U, // G_UADDE
1448
414k
    0U, // G_USUBO
1449
414k
    0U, // G_USUBE
1450
414k
    0U, // G_SADDO
1451
414k
    0U, // G_SADDE
1452
414k
    0U, // G_SSUBO
1453
414k
    0U, // G_SSUBE
1454
414k
    0U, // G_UMULO
1455
414k
    0U, // G_SMULO
1456
414k
    0U, // G_UMULH
1457
414k
    0U, // G_SMULH
1458
414k
    0U, // G_UADDSAT
1459
414k
    0U, // G_SADDSAT
1460
414k
    0U, // G_USUBSAT
1461
414k
    0U, // G_SSUBSAT
1462
414k
    0U, // G_USHLSAT
1463
414k
    0U, // G_SSHLSAT
1464
414k
    0U, // G_SMULFIX
1465
414k
    0U, // G_UMULFIX
1466
414k
    0U, // G_SMULFIXSAT
1467
414k
    0U, // G_UMULFIXSAT
1468
414k
    0U, // G_SDIVFIX
1469
414k
    0U, // G_UDIVFIX
1470
414k
    0U, // G_SDIVFIXSAT
1471
414k
    0U, // G_UDIVFIXSAT
1472
414k
    0U, // G_FADD
1473
414k
    0U, // G_FSUB
1474
414k
    0U, // G_FMUL
1475
414k
    0U, // G_FMA
1476
414k
    0U, // G_FMAD
1477
414k
    0U, // G_FDIV
1478
414k
    0U, // G_FREM
1479
414k
    0U, // G_FPOW
1480
414k
    0U, // G_FPOWI
1481
414k
    0U, // G_FEXP
1482
414k
    0U, // G_FEXP2
1483
414k
    0U, // G_FEXP10
1484
414k
    0U, // G_FLOG
1485
414k
    0U, // G_FLOG2
1486
414k
    0U, // G_FLOG10
1487
414k
    0U, // G_FLDEXP
1488
414k
    0U, // G_FFREXP
1489
414k
    0U, // G_FNEG
1490
414k
    0U, // G_FPEXT
1491
414k
    0U, // G_FPTRUNC
1492
414k
    0U, // G_FPTOSI
1493
414k
    0U, // G_FPTOUI
1494
414k
    0U, // G_SITOFP
1495
414k
    0U, // G_UITOFP
1496
414k
    0U, // G_FABS
1497
414k
    0U, // G_FCOPYSIGN
1498
414k
    0U, // G_IS_FPCLASS
1499
414k
    0U, // G_FCANONICALIZE
1500
414k
    0U, // G_FMINNUM
1501
414k
    0U, // G_FMAXNUM
1502
414k
    0U, // G_FMINNUM_IEEE
1503
414k
    0U, // G_FMAXNUM_IEEE
1504
414k
    0U, // G_FMINIMUM
1505
414k
    0U, // G_FMAXIMUM
1506
414k
    0U, // G_GET_FPENV
1507
414k
    0U, // G_SET_FPENV
1508
414k
    0U, // G_RESET_FPENV
1509
414k
    0U, // G_GET_FPMODE
1510
414k
    0U, // G_SET_FPMODE
1511
414k
    0U, // G_RESET_FPMODE
1512
414k
    0U, // G_PTR_ADD
1513
414k
    0U, // G_PTRMASK
1514
414k
    0U, // G_SMIN
1515
414k
    0U, // G_SMAX
1516
414k
    0U, // G_UMIN
1517
414k
    0U, // G_UMAX
1518
414k
    0U, // G_ABS
1519
414k
    0U, // G_LROUND
1520
414k
    0U, // G_LLROUND
1521
414k
    0U, // G_BR
1522
414k
    0U, // G_BRJT
1523
414k
    0U, // G_INSERT_VECTOR_ELT
1524
414k
    0U, // G_EXTRACT_VECTOR_ELT
1525
414k
    0U, // G_SHUFFLE_VECTOR
1526
414k
    0U, // G_CTTZ
1527
414k
    0U, // G_CTTZ_ZERO_UNDEF
1528
414k
    0U, // G_CTLZ
1529
414k
    0U, // G_CTLZ_ZERO_UNDEF
1530
414k
    0U, // G_CTPOP
1531
414k
    0U, // G_BSWAP
1532
414k
    0U, // G_BITREVERSE
1533
414k
    0U, // G_FCEIL
1534
414k
    0U, // G_FCOS
1535
414k
    0U, // G_FSIN
1536
414k
    0U, // G_FSQRT
1537
414k
    0U, // G_FFLOOR
1538
414k
    0U, // G_FRINT
1539
414k
    0U, // G_FNEARBYINT
1540
414k
    0U, // G_ADDRSPACE_CAST
1541
414k
    0U, // G_BLOCK_ADDR
1542
414k
    0U, // G_JUMP_TABLE
1543
414k
    0U, // G_DYN_STACKALLOC
1544
414k
    0U, // G_STACKSAVE
1545
414k
    0U, // G_STACKRESTORE
1546
414k
    0U, // G_STRICT_FADD
1547
414k
    0U, // G_STRICT_FSUB
1548
414k
    0U, // G_STRICT_FMUL
1549
414k
    0U, // G_STRICT_FDIV
1550
414k
    0U, // G_STRICT_FREM
1551
414k
    0U, // G_STRICT_FMA
1552
414k
    0U, // G_STRICT_FSQRT
1553
414k
    0U, // G_STRICT_FLDEXP
1554
414k
    0U, // G_READ_REGISTER
1555
414k
    0U, // G_WRITE_REGISTER
1556
414k
    0U, // G_MEMCPY
1557
414k
    0U, // G_MEMCPY_INLINE
1558
414k
    0U, // G_MEMMOVE
1559
414k
    0U, // G_MEMSET
1560
414k
    0U, // G_BZERO
1561
414k
    0U, // G_VECREDUCE_SEQ_FADD
1562
414k
    0U, // G_VECREDUCE_SEQ_FMUL
1563
414k
    0U, // G_VECREDUCE_FADD
1564
414k
    0U, // G_VECREDUCE_FMUL
1565
414k
    0U, // G_VECREDUCE_FMAX
1566
414k
    0U, // G_VECREDUCE_FMIN
1567
414k
    0U, // G_VECREDUCE_FMAXIMUM
1568
414k
    0U, // G_VECREDUCE_FMINIMUM
1569
414k
    0U, // G_VECREDUCE_ADD
1570
414k
    0U, // G_VECREDUCE_MUL
1571
414k
    0U, // G_VECREDUCE_AND
1572
414k
    0U, // G_VECREDUCE_OR
1573
414k
    0U, // G_VECREDUCE_XOR
1574
414k
    0U, // G_VECREDUCE_SMAX
1575
414k
    0U, // G_VECREDUCE_SMIN
1576
414k
    0U, // G_VECREDUCE_UMAX
1577
414k
    0U, // G_VECREDUCE_UMIN
1578
414k
    0U, // G_SBFX
1579
414k
    0U, // G_UBFX
1580
414k
    0U, // ABS_ZPmZ_B_UNDEF
1581
414k
    0U, // ABS_ZPmZ_D_UNDEF
1582
414k
    0U, // ABS_ZPmZ_H_UNDEF
1583
414k
    0U, // ABS_ZPmZ_S_UNDEF
1584
414k
    0U, // ADDHA_MPPZ_D_PSEUDO_D
1585
414k
    0U, // ADDHA_MPPZ_S_PSEUDO_S
1586
414k
    0U, // ADDSWrr
1587
414k
    0U, // ADDSXrr
1588
414k
    0U, // ADDVA_MPPZ_D_PSEUDO_D
1589
414k
    0U, // ADDVA_MPPZ_S_PSEUDO_S
1590
414k
    0U, // ADDWrr
1591
414k
    0U, // ADDXrr
1592
414k
    0U, // ADD_VG2_M2Z2Z_D_PSEUDO
1593
414k
    0U, // ADD_VG2_M2Z2Z_S_PSEUDO
1594
414k
    0U, // ADD_VG2_M2ZZ_D_PSEUDO
1595
414k
    0U, // ADD_VG2_M2ZZ_S_PSEUDO
1596
414k
    0U, // ADD_VG2_M2Z_D_PSEUDO
1597
414k
    0U, // ADD_VG2_M2Z_S_PSEUDO
1598
414k
    0U, // ADD_VG4_M4Z4Z_D_PSEUDO
1599
414k
    0U, // ADD_VG4_M4Z4Z_S_PSEUDO
1600
414k
    0U, // ADD_VG4_M4ZZ_D_PSEUDO
1601
414k
    0U, // ADD_VG4_M4ZZ_S_PSEUDO
1602
414k
    0U, // ADD_VG4_M4Z_D_PSEUDO
1603
414k
    0U, // ADD_VG4_M4Z_S_PSEUDO
1604
414k
    0U, // ADD_ZPZZ_B_ZERO
1605
414k
    0U, // ADD_ZPZZ_D_ZERO
1606
414k
    0U, // ADD_ZPZZ_H_ZERO
1607
414k
    0U, // ADD_ZPZZ_S_ZERO
1608
414k
    0U, // ADDlowTLS
1609
414k
    0U, // ADJCALLSTACKDOWN
1610
414k
    0U, // ADJCALLSTACKUP
1611
414k
    0U, // AESIMCrrTied
1612
414k
    0U, // AESMCrrTied
1613
414k
    0U, // ANDSWrr
1614
414k
    0U, // ANDSXrr
1615
414k
    0U, // ANDWrr
1616
414k
    0U, // ANDXrr
1617
414k
    0U, // AND_ZPZZ_B_ZERO
1618
414k
    0U, // AND_ZPZZ_D_ZERO
1619
414k
    0U, // AND_ZPZZ_H_ZERO
1620
414k
    0U, // AND_ZPZZ_S_ZERO
1621
414k
    0U, // ASRD_ZPZI_B_ZERO
1622
414k
    0U, // ASRD_ZPZI_D_ZERO
1623
414k
    0U, // ASRD_ZPZI_H_ZERO
1624
414k
    0U, // ASRD_ZPZI_S_ZERO
1625
414k
    0U, // ASR_ZPZI_B_UNDEF
1626
414k
    0U, // ASR_ZPZI_B_ZERO
1627
414k
    0U, // ASR_ZPZI_D_UNDEF
1628
414k
    0U, // ASR_ZPZI_D_ZERO
1629
414k
    0U, // ASR_ZPZI_H_UNDEF
1630
414k
    0U, // ASR_ZPZI_H_ZERO
1631
414k
    0U, // ASR_ZPZI_S_UNDEF
1632
414k
    0U, // ASR_ZPZI_S_ZERO
1633
414k
    0U, // ASR_ZPZZ_B_UNDEF
1634
414k
    0U, // ASR_ZPZZ_B_ZERO
1635
414k
    0U, // ASR_ZPZZ_D_UNDEF
1636
414k
    0U, // ASR_ZPZZ_D_ZERO
1637
414k
    0U, // ASR_ZPZZ_H_UNDEF
1638
414k
    0U, // ASR_ZPZZ_H_ZERO
1639
414k
    0U, // ASR_ZPZZ_S_UNDEF
1640
414k
    0U, // ASR_ZPZZ_S_ZERO
1641
414k
    0U, // BFADD_VG2_M2Z_H_PSEUDO
1642
414k
    0U, // BFADD_VG4_M4Z_H_PSEUDO
1643
414k
    0U, // BFADD_ZPZZ_UNDEF
1644
414k
    0U, // BFADD_ZPZZ_ZERO
1645
414k
    0U, // BFDOT_VG2_M2Z2Z_HtoS_PSEUDO
1646
414k
    0U, // BFDOT_VG2_M2ZZI_HtoS_PSEUDO
1647
414k
    0U, // BFDOT_VG2_M2ZZ_HtoS_PSEUDO
1648
414k
    0U, // BFDOT_VG4_M4Z4Z_HtoS_PSEUDO
1649
414k
    0U, // BFDOT_VG4_M4ZZI_HtoS_PSEUDO
1650
414k
    0U, // BFDOT_VG4_M4ZZ_HtoS_PSEUDO
1651
414k
    0U, // BFMAXNM_ZPZZ_UNDEF
1652
414k
    0U, // BFMAXNM_ZPZZ_ZERO
1653
414k
    0U, // BFMAX_ZPZZ_UNDEF
1654
414k
    0U, // BFMAX_ZPZZ_ZERO
1655
414k
    0U, // BFMINNM_ZPZZ_UNDEF
1656
414k
    0U, // BFMINNM_ZPZZ_ZERO
1657
414k
    0U, // BFMIN_ZPZZ_UNDEF
1658
414k
    0U, // BFMIN_ZPZZ_ZERO
1659
414k
    0U, // BFMLAL_MZZI_HtoS_PSEUDO
1660
414k
    0U, // BFMLAL_MZZ_HtoS_PSEUDO
1661
414k
    0U, // BFMLAL_VG2_M2Z2Z_HtoS_PSEUDO
1662
414k
    0U, // BFMLAL_VG2_M2ZZI_HtoS_PSEUDO
1663
414k
    0U, // BFMLAL_VG2_M2ZZ_HtoS_PSEUDO
1664
414k
    0U, // BFMLAL_VG4_M4Z4Z_HtoS_PSEUDO
1665
414k
    0U, // BFMLAL_VG4_M4ZZI_HtoS_PSEUDO
1666
414k
    0U, // BFMLAL_VG4_M4ZZ_HtoS_PSEUDO
1667
414k
    0U, // BFMLA_VG2_M2Z2Z_PSEUDO
1668
414k
    0U, // BFMLA_VG4_M4Z4Z_PSEUDO
1669
414k
    0U, // BFMLA_ZPZZZ_UNDEF
1670
414k
    0U, // BFMLSL_MZZI_HtoS_PSEUDO
1671
414k
    0U, // BFMLSL_MZZ_HtoS_PSEUDO
1672
414k
    0U, // BFMLSL_VG2_M2Z2Z_HtoS_PSEUDO
1673
414k
    0U, // BFMLSL_VG2_M2ZZI_HtoS_PSEUDO
1674
414k
    0U, // BFMLSL_VG2_M2ZZ_HtoS_PSEUDO
1675
414k
    0U, // BFMLSL_VG4_M4Z4Z_HtoS_PSEUDO
1676
414k
    0U, // BFMLSL_VG4_M4ZZI_HtoS_PSEUDO
1677
414k
    0U, // BFMLSL_VG4_M4ZZ_HtoS_PSEUDO
1678
414k
    0U, // BFMLS_VG2_M2Z2Z_PSEUDO
1679
414k
    0U, // BFMLS_VG4_M4Z4Z_PSEUDO
1680
414k
    0U, // BFMLS_ZPZZZ_UNDEF
1681
414k
    0U, // BFMOPA_MPPZZ_PSEUDO
1682
414k
    0U, // BFMOPS_MPPZZ_PSEUDO
1683
414k
    0U, // BFMUL_ZPZZ_UNDEF
1684
414k
    0U, // BFMUL_ZPZZ_ZERO
1685
414k
    0U, // BFSUB_VG2_M2Z_H_PSEUDO
1686
414k
    0U, // BFSUB_VG4_M4Z_H_PSEUDO
1687
414k
    0U, // BFSUB_ZPZZ_UNDEF
1688
414k
    0U, // BFSUB_ZPZZ_ZERO
1689
414k
    0U, // BFVDOT_VG2_M2ZZI_HtoS_PSEUDO
1690
414k
    0U, // BICSWrr
1691
414k
    0U, // BICSXrr
1692
414k
    0U, // BICWrr
1693
414k
    0U, // BICXrr
1694
414k
    0U, // BIC_ZPZZ_B_ZERO
1695
414k
    0U, // BIC_ZPZZ_D_ZERO
1696
414k
    0U, // BIC_ZPZZ_H_ZERO
1697
414k
    0U, // BIC_ZPZZ_S_ZERO
1698
414k
    0U, // BLRNoIP
1699
414k
    0U, // BLR_BTI
1700
414k
    0U, // BLR_RVMARKER
1701
414k
    0U, // BLR_X16
1702
414k
    0U, // BMOPA_MPPZZ_S_PSEUDO
1703
414k
    0U, // BMOPS_MPPZZ_S_PSEUDO
1704
414k
    0U, // BSPv16i8
1705
414k
    0U, // BSPv8i8
1706
414k
    0U, // CATCHRET
1707
414k
    0U, // CLEANUPRET
1708
414k
    0U, // CLS_ZPmZ_B_UNDEF
1709
414k
    0U, // CLS_ZPmZ_D_UNDEF
1710
414k
    0U, // CLS_ZPmZ_H_UNDEF
1711
414k
    0U, // CLS_ZPmZ_S_UNDEF
1712
414k
    0U, // CLZ_ZPmZ_B_UNDEF
1713
414k
    0U, // CLZ_ZPmZ_D_UNDEF
1714
414k
    0U, // CLZ_ZPmZ_H_UNDEF
1715
414k
    0U, // CLZ_ZPmZ_S_UNDEF
1716
414k
    0U, // CMP_SWAP_128
1717
414k
    0U, // CMP_SWAP_128_ACQUIRE
1718
414k
    0U, // CMP_SWAP_128_MONOTONIC
1719
414k
    0U, // CMP_SWAP_128_RELEASE
1720
414k
    0U, // CMP_SWAP_16
1721
414k
    0U, // CMP_SWAP_32
1722
414k
    0U, // CMP_SWAP_64
1723
414k
    0U, // CMP_SWAP_8
1724
414k
    0U, // CNOT_ZPmZ_B_UNDEF
1725
414k
    0U, // CNOT_ZPmZ_D_UNDEF
1726
414k
    0U, // CNOT_ZPmZ_H_UNDEF
1727
414k
    0U, // CNOT_ZPmZ_S_UNDEF
1728
414k
    0U, // CNT_ZPmZ_B_UNDEF
1729
414k
    0U, // CNT_ZPmZ_D_UNDEF
1730
414k
    0U, // CNT_ZPmZ_H_UNDEF
1731
414k
    0U, // CNT_ZPmZ_S_UNDEF
1732
414k
    0U, // COALESCER_BARRIER_FPR128
1733
414k
    0U, // COALESCER_BARRIER_FPR16
1734
414k
    0U, // COALESCER_BARRIER_FPR32
1735
414k
    0U, // COALESCER_BARRIER_FPR64
1736
414k
    0U, // EMITBKEY
1737
414k
    0U, // EMITMTETAGGED
1738
414k
    0U, // EONWrr
1739
414k
    0U, // EONXrr
1740
414k
    0U, // EORWrr
1741
414k
    0U, // EORXrr
1742
414k
    0U, // EOR_ZPZZ_B_ZERO
1743
414k
    0U, // EOR_ZPZZ_D_ZERO
1744
414k
    0U, // EOR_ZPZZ_H_ZERO
1745
414k
    0U, // EOR_ZPZZ_S_ZERO
1746
414k
    0U, // F128CSEL
1747
414k
    0U, // FABD_ZPZZ_D_UNDEF
1748
414k
    0U, // FABD_ZPZZ_D_ZERO
1749
414k
    0U, // FABD_ZPZZ_H_UNDEF
1750
414k
    0U, // FABD_ZPZZ_H_ZERO
1751
414k
    0U, // FABD_ZPZZ_S_UNDEF
1752
414k
    0U, // FABD_ZPZZ_S_ZERO
1753
414k
    0U, // FABS_ZPmZ_D_UNDEF
1754
414k
    0U, // FABS_ZPmZ_H_UNDEF
1755
414k
    0U, // FABS_ZPmZ_S_UNDEF
1756
414k
    0U, // FADD_VG2_M2Z_D_PSEUDO
1757
414k
    0U, // FADD_VG2_M2Z_H_PSEUDO
1758
414k
    0U, // FADD_VG2_M2Z_S_PSEUDO
1759
414k
    0U, // FADD_VG4_M4Z_D_PSEUDO
1760
414k
    0U, // FADD_VG4_M4Z_H_PSEUDO
1761
414k
    0U, // FADD_VG4_M4Z_S_PSEUDO
1762
414k
    0U, // FADD_ZPZI_D_UNDEF
1763
414k
    0U, // FADD_ZPZI_D_ZERO
1764
414k
    0U, // FADD_ZPZI_H_UNDEF
1765
414k
    0U, // FADD_ZPZI_H_ZERO
1766
414k
    0U, // FADD_ZPZI_S_UNDEF
1767
414k
    0U, // FADD_ZPZI_S_ZERO
1768
414k
    0U, // FADD_ZPZZ_D_UNDEF
1769
414k
    0U, // FADD_ZPZZ_D_ZERO
1770
414k
    0U, // FADD_ZPZZ_H_UNDEF
1771
414k
    0U, // FADD_ZPZZ_H_ZERO
1772
414k
    0U, // FADD_ZPZZ_S_UNDEF
1773
414k
    0U, // FADD_ZPZZ_S_ZERO
1774
414k
    0U, // FCVTZS_ZPmZ_DtoD_UNDEF
1775
414k
    0U, // FCVTZS_ZPmZ_DtoS_UNDEF
1776
414k
    0U, // FCVTZS_ZPmZ_HtoD_UNDEF
1777
414k
    0U, // FCVTZS_ZPmZ_HtoH_UNDEF
1778
414k
    0U, // FCVTZS_ZPmZ_HtoS_UNDEF
1779
414k
    0U, // FCVTZS_ZPmZ_StoD_UNDEF
1780
414k
    0U, // FCVTZS_ZPmZ_StoS_UNDEF
1781
414k
    0U, // FCVTZU_ZPmZ_DtoD_UNDEF
1782
414k
    0U, // FCVTZU_ZPmZ_DtoS_UNDEF
1783
414k
    0U, // FCVTZU_ZPmZ_HtoD_UNDEF
1784
414k
    0U, // FCVTZU_ZPmZ_HtoH_UNDEF
1785
414k
    0U, // FCVTZU_ZPmZ_HtoS_UNDEF
1786
414k
    0U, // FCVTZU_ZPmZ_StoD_UNDEF
1787
414k
    0U, // FCVTZU_ZPmZ_StoS_UNDEF
1788
414k
    0U, // FCVT_ZPmZ_DtoH_UNDEF
1789
414k
    0U, // FCVT_ZPmZ_DtoS_UNDEF
1790
414k
    0U, // FCVT_ZPmZ_HtoD_UNDEF
1791
414k
    0U, // FCVT_ZPmZ_HtoS_UNDEF
1792
414k
    0U, // FCVT_ZPmZ_StoD_UNDEF
1793
414k
    0U, // FCVT_ZPmZ_StoH_UNDEF
1794
414k
    0U, // FDIVR_ZPZZ_D_ZERO
1795
414k
    0U, // FDIVR_ZPZZ_H_ZERO
1796
414k
    0U, // FDIVR_ZPZZ_S_ZERO
1797
414k
    0U, // FDIV_ZPZZ_D_UNDEF
1798
414k
    0U, // FDIV_ZPZZ_D_ZERO
1799
414k
    0U, // FDIV_ZPZZ_H_UNDEF
1800
414k
    0U, // FDIV_ZPZZ_H_ZERO
1801
414k
    0U, // FDIV_ZPZZ_S_UNDEF
1802
414k
    0U, // FDIV_ZPZZ_S_ZERO
1803
414k
    0U, // FDOT_VG2_M2Z2Z_BtoH_PSEUDO
1804
414k
    0U, // FDOT_VG2_M2Z2Z_BtoS_PSEUDO
1805
414k
    0U, // FDOT_VG2_M2Z2Z_HtoS_PSEUDO
1806
414k
    0U, // FDOT_VG2_M2ZZI_BtoS_PSEUDO
1807
414k
    0U, // FDOT_VG2_M2ZZI_HtoS_PSEUDO
1808
414k
    0U, // FDOT_VG2_M2ZZ_HtoS_PSEUDO
1809
414k
    0U, // FDOT_VG4_M4Z4Z_BtoH_PSEUDO
1810
414k
    0U, // FDOT_VG4_M4Z4Z_BtoS_PSEUDO
1811
414k
    0U, // FDOT_VG4_M4Z4Z_HtoS_PSEUDO
1812
414k
    0U, // FDOT_VG4_M4ZZI_BtoS_PSEUDO
1813
414k
    0U, // FDOT_VG4_M4ZZI_HtoS_PSEUDO
1814
414k
    0U, // FDOT_VG4_M4ZZ_HtoS_PSEUDO
1815
414k
    0U, // FLOGB_ZPZZ_D_ZERO
1816
414k
    0U, // FLOGB_ZPZZ_H_ZERO
1817
414k
    0U, // FLOGB_ZPZZ_S_ZERO
1818
414k
    0U, // FMAXNM_ZPZI_D_UNDEF
1819
414k
    0U, // FMAXNM_ZPZI_D_ZERO
1820
414k
    0U, // FMAXNM_ZPZI_H_UNDEF
1821
414k
    0U, // FMAXNM_ZPZI_H_ZERO
1822
414k
    0U, // FMAXNM_ZPZI_S_UNDEF
1823
414k
    0U, // FMAXNM_ZPZI_S_ZERO
1824
414k
    0U, // FMAXNM_ZPZZ_D_UNDEF
1825
414k
    0U, // FMAXNM_ZPZZ_D_ZERO
1826
414k
    0U, // FMAXNM_ZPZZ_H_UNDEF
1827
414k
    0U, // FMAXNM_ZPZZ_H_ZERO
1828
414k
    0U, // FMAXNM_ZPZZ_S_UNDEF
1829
414k
    0U, // FMAXNM_ZPZZ_S_ZERO
1830
414k
    0U, // FMAX_ZPZI_D_UNDEF
1831
414k
    0U, // FMAX_ZPZI_D_ZERO
1832
414k
    0U, // FMAX_ZPZI_H_UNDEF
1833
414k
    0U, // FMAX_ZPZI_H_ZERO
1834
414k
    0U, // FMAX_ZPZI_S_UNDEF
1835
414k
    0U, // FMAX_ZPZI_S_ZERO
1836
414k
    0U, // FMAX_ZPZZ_D_UNDEF
1837
414k
    0U, // FMAX_ZPZZ_D_ZERO
1838
414k
    0U, // FMAX_ZPZZ_H_UNDEF
1839
414k
    0U, // FMAX_ZPZZ_H_ZERO
1840
414k
    0U, // FMAX_ZPZZ_S_UNDEF
1841
414k
    0U, // FMAX_ZPZZ_S_ZERO
1842
414k
    0U, // FMINNM_ZPZI_D_UNDEF
1843
414k
    0U, // FMINNM_ZPZI_D_ZERO
1844
414k
    0U, // FMINNM_ZPZI_H_UNDEF
1845
414k
    0U, // FMINNM_ZPZI_H_ZERO
1846
414k
    0U, // FMINNM_ZPZI_S_UNDEF
1847
414k
    0U, // FMINNM_ZPZI_S_ZERO
1848
414k
    0U, // FMINNM_ZPZZ_D_UNDEF
1849
414k
    0U, // FMINNM_ZPZZ_D_ZERO
1850
414k
    0U, // FMINNM_ZPZZ_H_UNDEF
1851
414k
    0U, // FMINNM_ZPZZ_H_ZERO
1852
414k
    0U, // FMINNM_ZPZZ_S_UNDEF
1853
414k
    0U, // FMINNM_ZPZZ_S_ZERO
1854
414k
    0U, // FMIN_ZPZI_D_UNDEF
1855
414k
    0U, // FMIN_ZPZI_D_ZERO
1856
414k
    0U, // FMIN_ZPZI_H_UNDEF
1857
414k
    0U, // FMIN_ZPZI_H_ZERO
1858
414k
    0U, // FMIN_ZPZI_S_UNDEF
1859
414k
    0U, // FMIN_ZPZI_S_ZERO
1860
414k
    0U, // FMIN_ZPZZ_D_UNDEF
1861
414k
    0U, // FMIN_ZPZZ_D_ZERO
1862
414k
    0U, // FMIN_ZPZZ_H_UNDEF
1863
414k
    0U, // FMIN_ZPZZ_H_ZERO
1864
414k
    0U, // FMIN_ZPZZ_S_UNDEF
1865
414k
    0U, // FMIN_ZPZZ_S_ZERO
1866
414k
    0U, // FMLALL_MZZI_BtoS_PSEUDO
1867
414k
    0U, // FMLALL_MZZ_BtoS_PSEUDO
1868
414k
    0U, // FMLALL_VG2_M2Z2Z_BtoS_PSEUDO
1869
414k
    0U, // FMLALL_VG2_M2ZZI_BtoS_PSEUDO
1870
414k
    0U, // FMLALL_VG2_M2ZZ_BtoS_PSEUDO
1871
414k
    0U, // FMLALL_VG4_M4Z4Z_BtoS_PSEUDO
1872
414k
    0U, // FMLALL_VG4_M4ZZI_BtoS_PSEUDO
1873
414k
    0U, // FMLALL_VG4_M4ZZ_BtoS_PSEUDO
1874
414k
    0U, // FMLAL_MZZI_HtoS_PSEUDO
1875
414k
    0U, // FMLAL_MZZ_HtoS_PSEUDO
1876
414k
    0U, // FMLAL_VG2_M2Z2Z_BtoH_PSEUDO
1877
414k
    0U, // FMLAL_VG2_M2Z2Z_HtoS_PSEUDO
1878
414k
    0U, // FMLAL_VG2_M2ZZI_HtoS_PSEUDO
1879
414k
    0U, // FMLAL_VG2_M2ZZ_BtoH_PSEUDO
1880
414k
    0U, // FMLAL_VG2_M2ZZ_HtoS_PSEUDO
1881
414k
    0U, // FMLAL_VG4_M4Z4Z_BtoH_PSEUDO
1882
414k
    0U, // FMLAL_VG4_M4Z4Z_HtoS_PSEUDO
1883
414k
    0U, // FMLAL_VG4_M4ZZI_HtoS_PSEUDO
1884
414k
    0U, // FMLAL_VG4_M4ZZ_BtoH_PSEUDO
1885
414k
    0U, // FMLAL_VG4_M4ZZ_HtoS_PSEUDO
1886
414k
    0U, // FMLA_VG2_M2Z2Z_D_PSEUDO
1887
414k
    0U, // FMLA_VG2_M2Z2Z_S_PSEUDO
1888
414k
    0U, // FMLA_VG2_M2Z4Z_H_PSEUDO
1889
414k
    0U, // FMLA_VG2_M2ZZI_D_PSEUDO
1890
414k
    0U, // FMLA_VG2_M2ZZI_S_PSEUDO
1891
414k
    0U, // FMLA_VG2_M2ZZ_D_PSEUDO
1892
414k
    0U, // FMLA_VG2_M2ZZ_S_PSEUDO
1893
414k
    0U, // FMLA_VG4_M4Z4Z_D_PSEUDO
1894
414k
    0U, // FMLA_VG4_M4Z4Z_H_PSEUDO
1895
414k
    0U, // FMLA_VG4_M4Z4Z_S_PSEUDO
1896
414k
    0U, // FMLA_VG4_M4ZZI_D_PSEUDO
1897
414k
    0U, // FMLA_VG4_M4ZZI_S_PSEUDO
1898
414k
    0U, // FMLA_VG4_M4ZZ_D_PSEUDO
1899
414k
    0U, // FMLA_VG4_M4ZZ_S_PSEUDO
1900
414k
    0U, // FMLA_ZPZZZ_D_UNDEF
1901
414k
    0U, // FMLA_ZPZZZ_H_UNDEF
1902
414k
    0U, // FMLA_ZPZZZ_S_UNDEF
1903
414k
    0U, // FMLSL_MZZI_HtoS_PSEUDO
1904
414k
    0U, // FMLSL_MZZ_HtoS_PSEUDO
1905
414k
    0U, // FMLSL_VG2_M2Z2Z_HtoS_PSEUDO
1906
414k
    0U, // FMLSL_VG2_M2ZZI_HtoS_PSEUDO
1907
414k
    0U, // FMLSL_VG2_M2ZZ_HtoS_PSEUDO
1908
414k
    0U, // FMLSL_VG4_M4Z4Z_HtoS_PSEUDO
1909
414k
    0U, // FMLSL_VG4_M4ZZI_HtoS_PSEUDO
1910
414k
    0U, // FMLSL_VG4_M4ZZ_HtoS_PSEUDO
1911
414k
    0U, // FMLS_VG2_M2Z2Z_D_PSEUDO
1912
414k
    0U, // FMLS_VG2_M2Z2Z_H_PSEUDO
1913
414k
    0U, // FMLS_VG2_M2Z2Z_S_PSEUDO
1914
414k
    0U, // FMLS_VG2_M2ZZI_D_PSEUDO
1915
414k
    0U, // FMLS_VG2_M2ZZI_S_PSEUDO
1916
414k
    0U, // FMLS_VG2_M2ZZ_D_PSEUDO
1917
414k
    0U, // FMLS_VG2_M2ZZ_S_PSEUDO
1918
414k
    0U, // FMLS_VG4_M4Z2Z_H_PSEUDO
1919
414k
    0U, // FMLS_VG4_M4Z4Z_D_PSEUDO
1920
414k
    0U, // FMLS_VG4_M4Z4Z_S_PSEUDO
1921
414k
    0U, // FMLS_VG4_M4ZZI_D_PSEUDO
1922
414k
    0U, // FMLS_VG4_M4ZZI_S_PSEUDO
1923
414k
    0U, // FMLS_VG4_M4ZZ_D_PSEUDO
1924
414k
    0U, // FMLS_VG4_M4ZZ_S_PSEUDO
1925
414k
    0U, // FMLS_ZPZZZ_D_UNDEF
1926
414k
    0U, // FMLS_ZPZZZ_H_UNDEF
1927
414k
    0U, // FMLS_ZPZZZ_S_UNDEF
1928
414k
    0U, // FMOPAL_MPPZZ_PSEUDO
1929
414k
    0U, // FMOPA_MPPZZ_BtoS_PSEUDO
1930
414k
    0U, // FMOPA_MPPZZ_D_PSEUDO
1931
414k
    0U, // FMOPA_MPPZZ_S_PSEUDO
1932
414k
    0U, // FMOPSL_MPPZZ_PSEUDO
1933
414k
    0U, // FMOPS_MPPZZ_D_PSEUDO
1934
414k
    0U, // FMOPS_MPPZZ_S_PSEUDO
1935
414k
    0U, // FMOVD0
1936
414k
    0U, // FMOVH0
1937
414k
    0U, // FMOVS0
1938
414k
    0U, // FMULX_ZPZZ_D_UNDEF
1939
414k
    0U, // FMULX_ZPZZ_D_ZERO
1940
414k
    0U, // FMULX_ZPZZ_H_UNDEF
1941
414k
    0U, // FMULX_ZPZZ_H_ZERO
1942
414k
    0U, // FMULX_ZPZZ_S_UNDEF
1943
414k
    0U, // FMULX_ZPZZ_S_ZERO
1944
414k
    0U, // FMUL_ZPZI_D_UNDEF
1945
414k
    0U, // FMUL_ZPZI_D_ZERO
1946
414k
    0U, // FMUL_ZPZI_H_UNDEF
1947
414k
    0U, // FMUL_ZPZI_H_ZERO
1948
414k
    0U, // FMUL_ZPZI_S_UNDEF
1949
414k
    0U, // FMUL_ZPZI_S_ZERO
1950
414k
    0U, // FMUL_ZPZZ_D_UNDEF
1951
414k
    0U, // FMUL_ZPZZ_D_ZERO
1952
414k
    0U, // FMUL_ZPZZ_H_UNDEF
1953
414k
    0U, // FMUL_ZPZZ_H_ZERO
1954
414k
    0U, // FMUL_ZPZZ_S_UNDEF
1955
414k
    0U, // FMUL_ZPZZ_S_ZERO
1956
414k
    0U, // FNEG_ZPmZ_D_UNDEF
1957
414k
    0U, // FNEG_ZPmZ_H_UNDEF
1958
414k
    0U, // FNEG_ZPmZ_S_UNDEF
1959
414k
    0U, // FNMLA_ZPZZZ_D_UNDEF
1960
414k
    0U, // FNMLA_ZPZZZ_H_UNDEF
1961
414k
    0U, // FNMLA_ZPZZZ_S_UNDEF
1962
414k
    0U, // FNMLS_ZPZZZ_D_UNDEF
1963
414k
    0U, // FNMLS_ZPZZZ_H_UNDEF
1964
414k
    0U, // FNMLS_ZPZZZ_S_UNDEF
1965
414k
    0U, // FRECPX_ZPmZ_D_UNDEF
1966
414k
    0U, // FRECPX_ZPmZ_H_UNDEF
1967
414k
    0U, // FRECPX_ZPmZ_S_UNDEF
1968
414k
    0U, // FRINTA_ZPmZ_D_UNDEF
1969
414k
    0U, // FRINTA_ZPmZ_H_UNDEF
1970
414k
    0U, // FRINTA_ZPmZ_S_UNDEF
1971
414k
    0U, // FRINTI_ZPmZ_D_UNDEF
1972
414k
    0U, // FRINTI_ZPmZ_H_UNDEF
1973
414k
    0U, // FRINTI_ZPmZ_S_UNDEF
1974
414k
    0U, // FRINTM_ZPmZ_D_UNDEF
1975
414k
    0U, // FRINTM_ZPmZ_H_UNDEF
1976
414k
    0U, // FRINTM_ZPmZ_S_UNDEF
1977
414k
    0U, // FRINTN_ZPmZ_D_UNDEF
1978
414k
    0U, // FRINTN_ZPmZ_H_UNDEF
1979
414k
    0U, // FRINTN_ZPmZ_S_UNDEF
1980
414k
    0U, // FRINTP_ZPmZ_D_UNDEF
1981
414k
    0U, // FRINTP_ZPmZ_H_UNDEF
1982
414k
    0U, // FRINTP_ZPmZ_S_UNDEF
1983
414k
    0U, // FRINTX_ZPmZ_D_UNDEF
1984
414k
    0U, // FRINTX_ZPmZ_H_UNDEF
1985
414k
    0U, // FRINTX_ZPmZ_S_UNDEF
1986
414k
    0U, // FRINTZ_ZPmZ_D_UNDEF
1987
414k
    0U, // FRINTZ_ZPmZ_H_UNDEF
1988
414k
    0U, // FRINTZ_ZPmZ_S_UNDEF
1989
414k
    0U, // FSQRT_ZPmZ_D_UNDEF
1990
414k
    0U, // FSQRT_ZPmZ_H_UNDEF
1991
414k
    0U, // FSQRT_ZPmZ_S_UNDEF
1992
414k
    0U, // FSUBR_ZPZI_D_UNDEF
1993
414k
    0U, // FSUBR_ZPZI_D_ZERO
1994
414k
    0U, // FSUBR_ZPZI_H_UNDEF
1995
414k
    0U, // FSUBR_ZPZI_H_ZERO
1996
414k
    0U, // FSUBR_ZPZI_S_UNDEF
1997
414k
    0U, // FSUBR_ZPZI_S_ZERO
1998
414k
    0U, // FSUBR_ZPZZ_D_ZERO
1999
414k
    0U, // FSUBR_ZPZZ_H_ZERO
2000
414k
    0U, // FSUBR_ZPZZ_S_ZERO
2001
414k
    0U, // FSUB_VG2_M2Z_D_PSEUDO
2002
414k
    0U, // FSUB_VG2_M2Z_H_PSEUDO
2003
414k
    0U, // FSUB_VG2_M2Z_S_PSEUDO
2004
414k
    0U, // FSUB_VG4_M4Z_D_PSEUDO
2005
414k
    0U, // FSUB_VG4_M4Z_H_PSEUDO
2006
414k
    0U, // FSUB_VG4_M4Z_S_PSEUDO
2007
414k
    0U, // FSUB_ZPZI_D_UNDEF
2008
414k
    0U, // FSUB_ZPZI_D_ZERO
2009
414k
    0U, // FSUB_ZPZI_H_UNDEF
2010
414k
    0U, // FSUB_ZPZI_H_ZERO
2011
414k
    0U, // FSUB_ZPZI_S_UNDEF
2012
414k
    0U, // FSUB_ZPZI_S_ZERO
2013
414k
    0U, // FSUB_ZPZZ_D_UNDEF
2014
414k
    0U, // FSUB_ZPZZ_D_ZERO
2015
414k
    0U, // FSUB_ZPZZ_H_UNDEF
2016
414k
    0U, // FSUB_ZPZZ_H_ZERO
2017
414k
    0U, // FSUB_ZPZZ_S_UNDEF
2018
414k
    0U, // FSUB_ZPZZ_S_ZERO
2019
414k
    0U, // FVDOT_VG2_M2ZZI_HtoS_PSEUDO
2020
414k
    0U, // GLD1B_D
2021
414k
    0U, // GLD1B_D_IMM
2022
414k
    0U, // GLD1B_D_SXTW
2023
414k
    0U, // GLD1B_D_UXTW
2024
414k
    0U, // GLD1B_S_IMM
2025
414k
    0U, // GLD1B_S_SXTW
2026
414k
    0U, // GLD1B_S_UXTW
2027
414k
    0U, // GLD1D
2028
414k
    0U, // GLD1D_IMM
2029
414k
    0U, // GLD1D_SCALED
2030
414k
    0U, // GLD1D_SXTW
2031
414k
    0U, // GLD1D_SXTW_SCALED
2032
414k
    0U, // GLD1D_UXTW
2033
414k
    0U, // GLD1D_UXTW_SCALED
2034
414k
    0U, // GLD1H_D
2035
414k
    0U, // GLD1H_D_IMM
2036
414k
    0U, // GLD1H_D_SCALED
2037
414k
    0U, // GLD1H_D_SXTW
2038
414k
    0U, // GLD1H_D_SXTW_SCALED
2039
414k
    0U, // GLD1H_D_UXTW
2040
414k
    0U, // GLD1H_D_UXTW_SCALED
2041
414k
    0U, // GLD1H_S_IMM
2042
414k
    0U, // GLD1H_S_SXTW
2043
414k
    0U, // GLD1H_S_SXTW_SCALED
2044
414k
    0U, // GLD1H_S_UXTW
2045
414k
    0U, // GLD1H_S_UXTW_SCALED
2046
414k
    0U, // GLD1SB_D
2047
414k
    0U, // GLD1SB_D_IMM
2048
414k
    0U, // GLD1SB_D_SXTW
2049
414k
    0U, // GLD1SB_D_UXTW
2050
414k
    0U, // GLD1SB_S_IMM
2051
414k
    0U, // GLD1SB_S_SXTW
2052
414k
    0U, // GLD1SB_S_UXTW
2053
414k
    0U, // GLD1SH_D
2054
414k
    0U, // GLD1SH_D_IMM
2055
414k
    0U, // GLD1SH_D_SCALED
2056
414k
    0U, // GLD1SH_D_SXTW
2057
414k
    0U, // GLD1SH_D_SXTW_SCALED
2058
414k
    0U, // GLD1SH_D_UXTW
2059
414k
    0U, // GLD1SH_D_UXTW_SCALED
2060
414k
    0U, // GLD1SH_S_IMM
2061
414k
    0U, // GLD1SH_S_SXTW
2062
414k
    0U, // GLD1SH_S_SXTW_SCALED
2063
414k
    0U, // GLD1SH_S_UXTW
2064
414k
    0U, // GLD1SH_S_UXTW_SCALED
2065
414k
    0U, // GLD1SW_D
2066
414k
    0U, // GLD1SW_D_IMM
2067
414k
    0U, // GLD1SW_D_SCALED
2068
414k
    0U, // GLD1SW_D_SXTW
2069
414k
    0U, // GLD1SW_D_SXTW_SCALED
2070
414k
    0U, // GLD1SW_D_UXTW
2071
414k
    0U, // GLD1SW_D_UXTW_SCALED
2072
414k
    0U, // GLD1W_D
2073
414k
    0U, // GLD1W_D_IMM
2074
414k
    0U, // GLD1W_D_SCALED
2075
414k
    0U, // GLD1W_D_SXTW
2076
414k
    0U, // GLD1W_D_SXTW_SCALED
2077
414k
    0U, // GLD1W_D_UXTW
2078
414k
    0U, // GLD1W_D_UXTW_SCALED
2079
414k
    0U, // GLD1W_IMM
2080
414k
    0U, // GLD1W_SXTW
2081
414k
    0U, // GLD1W_SXTW_SCALED
2082
414k
    0U, // GLD1W_UXTW
2083
414k
    0U, // GLD1W_UXTW_SCALED
2084
414k
    0U, // GLDFF1B_D
2085
414k
    0U, // GLDFF1B_D_IMM
2086
414k
    0U, // GLDFF1B_D_SXTW
2087
414k
    0U, // GLDFF1B_D_UXTW
2088
414k
    0U, // GLDFF1B_S_IMM
2089
414k
    0U, // GLDFF1B_S_SXTW
2090
414k
    0U, // GLDFF1B_S_UXTW
2091
414k
    0U, // GLDFF1D
2092
414k
    0U, // GLDFF1D_IMM
2093
414k
    0U, // GLDFF1D_SCALED
2094
414k
    0U, // GLDFF1D_SXTW
2095
414k
    0U, // GLDFF1D_SXTW_SCALED
2096
414k
    0U, // GLDFF1D_UXTW
2097
414k
    0U, // GLDFF1D_UXTW_SCALED
2098
414k
    0U, // GLDFF1H_D
2099
414k
    0U, // GLDFF1H_D_IMM
2100
414k
    0U, // GLDFF1H_D_SCALED
2101
414k
    0U, // GLDFF1H_D_SXTW
2102
414k
    0U, // GLDFF1H_D_SXTW_SCALED
2103
414k
    0U, // GLDFF1H_D_UXTW
2104
414k
    0U, // GLDFF1H_D_UXTW_SCALED
2105
414k
    0U, // GLDFF1H_S_IMM
2106
414k
    0U, // GLDFF1H_S_SXTW
2107
414k
    0U, // GLDFF1H_S_SXTW_SCALED
2108
414k
    0U, // GLDFF1H_S_UXTW
2109
414k
    0U, // GLDFF1H_S_UXTW_SCALED
2110
414k
    0U, // GLDFF1SB_D
2111
414k
    0U, // GLDFF1SB_D_IMM
2112
414k
    0U, // GLDFF1SB_D_SXTW
2113
414k
    0U, // GLDFF1SB_D_UXTW
2114
414k
    0U, // GLDFF1SB_S_IMM
2115
414k
    0U, // GLDFF1SB_S_SXTW
2116
414k
    0U, // GLDFF1SB_S_UXTW
2117
414k
    0U, // GLDFF1SH_D
2118
414k
    0U, // GLDFF1SH_D_IMM
2119
414k
    0U, // GLDFF1SH_D_SCALED
2120
414k
    0U, // GLDFF1SH_D_SXTW
2121
414k
    0U, // GLDFF1SH_D_SXTW_SCALED
2122
414k
    0U, // GLDFF1SH_D_UXTW
2123
414k
    0U, // GLDFF1SH_D_UXTW_SCALED
2124
414k
    0U, // GLDFF1SH_S_IMM
2125
414k
    0U, // GLDFF1SH_S_SXTW
2126
414k
    0U, // GLDFF1SH_S_SXTW_SCALED
2127
414k
    0U, // GLDFF1SH_S_UXTW
2128
414k
    0U, // GLDFF1SH_S_UXTW_SCALED
2129
414k
    0U, // GLDFF1SW_D
2130
414k
    0U, // GLDFF1SW_D_IMM
2131
414k
    0U, // GLDFF1SW_D_SCALED
2132
414k
    0U, // GLDFF1SW_D_SXTW
2133
414k
    0U, // GLDFF1SW_D_SXTW_SCALED
2134
414k
    0U, // GLDFF1SW_D_UXTW
2135
414k
    0U, // GLDFF1SW_D_UXTW_SCALED
2136
414k
    0U, // GLDFF1W_D
2137
414k
    0U, // GLDFF1W_D_IMM
2138
414k
    0U, // GLDFF1W_D_SCALED
2139
414k
    0U, // GLDFF1W_D_SXTW
2140
414k
    0U, // GLDFF1W_D_SXTW_SCALED
2141
414k
    0U, // GLDFF1W_D_UXTW
2142
414k
    0U, // GLDFF1W_D_UXTW_SCALED
2143
414k
    0U, // GLDFF1W_IMM
2144
414k
    0U, // GLDFF1W_SXTW
2145
414k
    0U, // GLDFF1W_SXTW_SCALED
2146
414k
    0U, // GLDFF1W_UXTW
2147
414k
    0U, // GLDFF1W_UXTW_SCALED
2148
414k
    0U, // G_AARCH64_PREFETCH
2149
414k
    0U, // G_ADD_LOW
2150
414k
    0U, // G_BSP
2151
414k
    0U, // G_DUP
2152
414k
    0U, // G_DUPLANE16
2153
414k
    0U, // G_DUPLANE32
2154
414k
    0U, // G_DUPLANE64
2155
414k
    0U, // G_DUPLANE8
2156
414k
    0U, // G_EXT
2157
414k
    0U, // G_FCMEQ
2158
414k
    0U, // G_FCMEQZ
2159
414k
    0U, // G_FCMGE
2160
414k
    0U, // G_FCMGEZ
2161
414k
    0U, // G_FCMGT
2162
414k
    0U, // G_FCMGTZ
2163
414k
    0U, // G_FCMLEZ
2164
414k
    0U, // G_FCMLTZ
2165
414k
    0U, // G_REV16
2166
414k
    0U, // G_REV32
2167
414k
    0U, // G_REV64
2168
414k
    0U, // G_SADDLP
2169
414k
    0U, // G_SADDLV
2170
414k
    0U, // G_SDOT
2171
414k
    0U, // G_SITOF
2172
414k
    0U, // G_SMULL
2173
414k
    0U, // G_TRN1
2174
414k
    0U, // G_TRN2
2175
414k
    0U, // G_UADDLP
2176
414k
    0U, // G_UADDLV
2177
414k
    0U, // G_UDOT
2178
414k
    0U, // G_UITOF
2179
414k
    0U, // G_UMULL
2180
414k
    0U, // G_UZP1
2181
414k
    0U, // G_UZP2
2182
414k
    0U, // G_VASHR
2183
414k
    0U, // G_VLSHR
2184
414k
    0U, // G_ZIP1
2185
414k
    0U, // G_ZIP2
2186
414k
    0U, // HOM_Epilog
2187
414k
    0U, // HOM_Prolog
2188
414k
    0U, // HWASAN_CHECK_MEMACCESS
2189
414k
    0U, // HWASAN_CHECK_MEMACCESS_SHORTGRANULES
2190
414k
    0U, // INSERT_MXIPZ_H_PSEUDO_B
2191
414k
    0U, // INSERT_MXIPZ_H_PSEUDO_D
2192
414k
    0U, // INSERT_MXIPZ_H_PSEUDO_H
2193
414k
    0U, // INSERT_MXIPZ_H_PSEUDO_Q
2194
414k
    0U, // INSERT_MXIPZ_H_PSEUDO_S
2195
414k
    0U, // INSERT_MXIPZ_V_PSEUDO_B
2196
414k
    0U, // INSERT_MXIPZ_V_PSEUDO_D
2197
414k
    0U, // INSERT_MXIPZ_V_PSEUDO_H
2198
414k
    0U, // INSERT_MXIPZ_V_PSEUDO_Q
2199
414k
    0U, // INSERT_MXIPZ_V_PSEUDO_S
2200
414k
    0U, // IRGstack
2201
414k
    0U, // JumpTableDest16
2202
414k
    0U, // JumpTableDest32
2203
414k
    0U, // JumpTableDest8
2204
414k
    0U, // KCFI_CHECK
2205
414k
    0U, // LD1B_2Z_IMM_PSEUDO
2206
414k
    0U, // LD1B_2Z_PSEUDO
2207
414k
    0U, // LD1B_4Z_IMM_PSEUDO
2208
414k
    0U, // LD1B_4Z_PSEUDO
2209
414k
    0U, // LD1D_2Z_IMM_PSEUDO
2210
414k
    0U, // LD1D_2Z_PSEUDO
2211
414k
    0U, // LD1D_4Z_IMM_PSEUDO
2212
414k
    0U, // LD1D_4Z_PSEUDO
2213
414k
    0U, // LD1H_2Z_IMM_PSEUDO
2214
414k
    0U, // LD1H_2Z_PSEUDO
2215
414k
    0U, // LD1H_4Z_IMM_PSEUDO
2216
414k
    0U, // LD1H_4Z_PSEUDO
2217
414k
    0U, // LD1W_2Z_IMM_PSEUDO
2218
414k
    0U, // LD1W_2Z_PSEUDO
2219
414k
    0U, // LD1W_4Z_IMM_PSEUDO
2220
414k
    0U, // LD1W_4Z_PSEUDO
2221
414k
    0U, // LD1_MXIPXX_H_PSEUDO_B
2222
414k
    0U, // LD1_MXIPXX_H_PSEUDO_D
2223
414k
    0U, // LD1_MXIPXX_H_PSEUDO_H
2224
414k
    0U, // LD1_MXIPXX_H_PSEUDO_Q
2225
414k
    0U, // LD1_MXIPXX_H_PSEUDO_S
2226
414k
    0U, // LD1_MXIPXX_V_PSEUDO_B
2227
414k
    0U, // LD1_MXIPXX_V_PSEUDO_D
2228
414k
    0U, // LD1_MXIPXX_V_PSEUDO_H
2229
414k
    0U, // LD1_MXIPXX_V_PSEUDO_Q
2230
414k
    0U, // LD1_MXIPXX_V_PSEUDO_S
2231
414k
    0U, // LDFF1B
2232
414k
    0U, // LDFF1B_D
2233
414k
    0U, // LDFF1B_H
2234
414k
    0U, // LDFF1B_S
2235
414k
    0U, // LDFF1D
2236
414k
    0U, // LDFF1H
2237
414k
    0U, // LDFF1H_D
2238
414k
    0U, // LDFF1H_S
2239
414k
    0U, // LDFF1SB_D
2240
414k
    0U, // LDFF1SB_H
2241
414k
    0U, // LDFF1SB_S
2242
414k
    0U, // LDFF1SH_D
2243
414k
    0U, // LDFF1SH_S
2244
414k
    0U, // LDFF1SW_D
2245
414k
    0U, // LDFF1W
2246
414k
    0U, // LDFF1W_D
2247
414k
    0U, // LDNF1B_D_IMM
2248
414k
    0U, // LDNF1B_H_IMM
2249
414k
    0U, // LDNF1B_IMM
2250
414k
    0U, // LDNF1B_S_IMM
2251
414k
    0U, // LDNF1D_IMM
2252
414k
    0U, // LDNF1H_D_IMM
2253
414k
    0U, // LDNF1H_IMM
2254
414k
    0U, // LDNF1H_S_IMM
2255
414k
    0U, // LDNF1SB_D_IMM
2256
414k
    0U, // LDNF1SB_H_IMM
2257
414k
    0U, // LDNF1SB_S_IMM
2258
414k
    0U, // LDNF1SH_D_IMM
2259
414k
    0U, // LDNF1SH_S_IMM
2260
414k
    0U, // LDNF1SW_D_IMM
2261
414k
    0U, // LDNF1W_D_IMM
2262
414k
    0U, // LDNF1W_IMM
2263
414k
    0U, // LDNT1B_2Z_IMM_PSEUDO
2264
414k
    0U, // LDNT1B_2Z_PSEUDO
2265
414k
    0U, // LDNT1B_4Z_IMM_PSEUDO
2266
414k
    0U, // LDNT1B_4Z_PSEUDO
2267
414k
    0U, // LDNT1D_2Z_IMM_PSEUDO
2268
414k
    0U, // LDNT1D_2Z_PSEUDO
2269
414k
    0U, // LDNT1D_4Z_IMM_PSEUDO
2270
414k
    0U, // LDNT1D_4Z_PSEUDO
2271
414k
    0U, // LDNT1H_2Z_IMM_PSEUDO
2272
414k
    0U, // LDNT1H_2Z_PSEUDO
2273
414k
    0U, // LDNT1H_4Z_IMM_PSEUDO
2274
414k
    0U, // LDNT1H_4Z_PSEUDO
2275
414k
    0U, // LDNT1W_2Z_IMM_PSEUDO
2276
414k
    0U, // LDNT1W_2Z_PSEUDO
2277
414k
    0U, // LDNT1W_4Z_IMM_PSEUDO
2278
414k
    0U, // LDNT1W_4Z_PSEUDO
2279
414k
    0U, // LDR_PPXI
2280
414k
    0U, // LDR_TX_PSEUDO
2281
414k
    0U, // LDR_ZA_PSEUDO
2282
414k
    0U, // LDR_ZZXI
2283
414k
    0U, // LDR_ZZZXI
2284
414k
    0U, // LDR_ZZZZXI
2285
414k
    0U, // LOADgot
2286
414k
    0U, // LSL_ZPZI_B_UNDEF
2287
414k
    0U, // LSL_ZPZI_B_ZERO
2288
414k
    0U, // LSL_ZPZI_D_UNDEF
2289
414k
    0U, // LSL_ZPZI_D_ZERO
2290
414k
    0U, // LSL_ZPZI_H_UNDEF
2291
414k
    0U, // LSL_ZPZI_H_ZERO
2292
414k
    0U, // LSL_ZPZI_S_UNDEF
2293
414k
    0U, // LSL_ZPZI_S_ZERO
2294
414k
    0U, // LSL_ZPZZ_B_UNDEF
2295
414k
    0U, // LSL_ZPZZ_B_ZERO
2296
414k
    0U, // LSL_ZPZZ_D_UNDEF
2297
414k
    0U, // LSL_ZPZZ_D_ZERO
2298
414k
    0U, // LSL_ZPZZ_H_UNDEF
2299
414k
    0U, // LSL_ZPZZ_H_ZERO
2300
414k
    0U, // LSL_ZPZZ_S_UNDEF
2301
414k
    0U, // LSL_ZPZZ_S_ZERO
2302
414k
    0U, // LSR_ZPZI_B_UNDEF
2303
414k
    0U, // LSR_ZPZI_B_ZERO
2304
414k
    0U, // LSR_ZPZI_D_UNDEF
2305
414k
    0U, // LSR_ZPZI_D_ZERO
2306
414k
    0U, // LSR_ZPZI_H_UNDEF
2307
414k
    0U, // LSR_ZPZI_H_ZERO
2308
414k
    0U, // LSR_ZPZI_S_UNDEF
2309
414k
    0U, // LSR_ZPZI_S_ZERO
2310
414k
    0U, // LSR_ZPZZ_B_UNDEF
2311
414k
    0U, // LSR_ZPZZ_B_ZERO
2312
414k
    0U, // LSR_ZPZZ_D_UNDEF
2313
414k
    0U, // LSR_ZPZZ_D_ZERO
2314
414k
    0U, // LSR_ZPZZ_H_UNDEF
2315
414k
    0U, // LSR_ZPZZ_H_ZERO
2316
414k
    0U, // LSR_ZPZZ_S_UNDEF
2317
414k
    0U, // LSR_ZPZZ_S_ZERO
2318
414k
    0U, // MLA_ZPZZZ_B_UNDEF
2319
414k
    0U, // MLA_ZPZZZ_D_UNDEF
2320
414k
    0U, // MLA_ZPZZZ_H_UNDEF
2321
414k
    0U, // MLA_ZPZZZ_S_UNDEF
2322
414k
    0U, // MLS_ZPZZZ_B_UNDEF
2323
414k
    0U, // MLS_ZPZZZ_D_UNDEF
2324
414k
    0U, // MLS_ZPZZZ_H_UNDEF
2325
414k
    0U, // MLS_ZPZZZ_S_UNDEF
2326
414k
    0U, // MOPSMemoryCopyPseudo
2327
414k
    0U, // MOPSMemoryMovePseudo
2328
414k
    0U, // MOPSMemorySetPseudo
2329
414k
    0U, // MOPSMemorySetTaggingPseudo
2330
414k
    0U, // MOVA_MXI2Z_H_B_PSEUDO
2331
414k
    0U, // MOVA_MXI2Z_H_D_PSEUDO
2332
414k
    0U, // MOVA_MXI2Z_H_H_PSEUDO
2333
414k
    0U, // MOVA_MXI2Z_H_S_PSEUDO
2334
414k
    0U, // MOVA_MXI2Z_V_B_PSEUDO
2335
414k
    0U, // MOVA_MXI2Z_V_D_PSEUDO
2336
414k
    0U, // MOVA_MXI2Z_V_H_PSEUDO
2337
414k
    0U, // MOVA_MXI2Z_V_S_PSEUDO
2338
414k
    0U, // MOVA_MXI4Z_H_B_PSEUDO
2339
414k
    0U, // MOVA_MXI4Z_H_D_PSEUDO
2340
414k
    0U, // MOVA_MXI4Z_H_H_PSEUDO
2341
414k
    0U, // MOVA_MXI4Z_H_S_PSEUDO
2342
414k
    0U, // MOVA_MXI4Z_V_B_PSEUDO
2343
414k
    0U, // MOVA_MXI4Z_V_D_PSEUDO
2344
414k
    0U, // MOVA_MXI4Z_V_H_PSEUDO
2345
414k
    0U, // MOVA_MXI4Z_V_S_PSEUDO
2346
414k
    0U, // MOVA_VG2_MXI2Z_PSEUDO
2347
414k
    0U, // MOVA_VG4_MXI4Z_PSEUDO
2348
414k
    0U, // MOVMCSym
2349
414k
    0U, // MOVaddr
2350
414k
    0U, // MOVaddrBA
2351
414k
    0U, // MOVaddrCP
2352
414k
    0U, // MOVaddrEXT
2353
414k
    0U, // MOVaddrJT
2354
414k
    0U, // MOVaddrTLS
2355
414k
    0U, // MOVbaseTLS
2356
414k
    0U, // MOVi32imm
2357
414k
    0U, // MOVi64imm
2358
414k
    0U, // MRS_FPCR
2359
414k
    0U, // MSR_FPCR
2360
414k
    0U, // MSRpstatePseudo
2361
414k
    0U, // MUL_ZPZZ_B_UNDEF
2362
414k
    0U, // MUL_ZPZZ_D_UNDEF
2363
414k
    0U, // MUL_ZPZZ_H_UNDEF
2364
414k
    0U, // MUL_ZPZZ_S_UNDEF
2365
414k
    0U, // NEG_ZPmZ_B_UNDEF
2366
414k
    0U, // NEG_ZPmZ_D_UNDEF
2367
414k
    0U, // NEG_ZPmZ_H_UNDEF
2368
414k
    0U, // NEG_ZPmZ_S_UNDEF
2369
414k
    0U, // NOT_ZPmZ_B_UNDEF
2370
414k
    0U, // NOT_ZPmZ_D_UNDEF
2371
414k
    0U, // NOT_ZPmZ_H_UNDEF
2372
414k
    0U, // NOT_ZPmZ_S_UNDEF
2373
414k
    0U, // ORNWrr
2374
414k
    0U, // ORNXrr
2375
414k
    0U, // ORRWrr
2376
414k
    0U, // ORRXrr
2377
414k
    0U, // ORR_ZPZZ_B_ZERO
2378
414k
    0U, // ORR_ZPZZ_D_ZERO
2379
414k
    0U, // ORR_ZPZZ_H_ZERO
2380
414k
    0U, // ORR_ZPZZ_S_ZERO
2381
414k
    0U, // PAUTH_EPILOGUE
2382
414k
    0U, // PAUTH_PROLOGUE
2383
414k
    0U, // PROBED_STACKALLOC
2384
414k
    0U, // PROBED_STACKALLOC_DYN
2385
414k
    0U, // PROBED_STACKALLOC_VAR
2386
414k
    0U, // PTEST_PP_ANY
2387
414k
    0U, // RDFFR_P
2388
414k
    0U, // RDFFR_PPz
2389
414k
    0U, // RET_ReallyLR
2390
414k
    0U, // RestoreZAPseudo
2391
414k
    0U, // SABD_ZPZZ_B_UNDEF
2392
414k
    0U, // SABD_ZPZZ_D_UNDEF
2393
414k
    0U, // SABD_ZPZZ_H_UNDEF
2394
414k
    0U, // SABD_ZPZZ_S_UNDEF
2395
414k
    0U, // SCVTF_ZPmZ_DtoD_UNDEF
2396
414k
    0U, // SCVTF_ZPmZ_DtoH_UNDEF
2397
414k
    0U, // SCVTF_ZPmZ_DtoS_UNDEF
2398
414k
    0U, // SCVTF_ZPmZ_HtoH_UNDEF
2399
414k
    0U, // SCVTF_ZPmZ_StoD_UNDEF
2400
414k
    0U, // SCVTF_ZPmZ_StoH_UNDEF
2401
414k
    0U, // SCVTF_ZPmZ_StoS_UNDEF
2402
414k
    0U, // SDIV_ZPZZ_D_UNDEF
2403
414k
    0U, // SDIV_ZPZZ_S_UNDEF
2404
414k
    0U, // SDOT_VG2_M2Z2Z_BtoS_PSEUDO
2405
414k
    0U, // SDOT_VG2_M2Z2Z_HtoD_PSEUDO
2406
414k
    0U, // SDOT_VG2_M2Z2Z_HtoS_PSEUDO
2407
414k
    0U, // SDOT_VG2_M2ZZI_BToS_PSEUDO
2408
414k
    0U, // SDOT_VG2_M2ZZI_HToS_PSEUDO
2409
414k
    0U, // SDOT_VG2_M2ZZI_HtoD_PSEUDO
2410
414k
    0U, // SDOT_VG2_M2ZZ_BtoS_PSEUDO
2411
414k
    0U, // SDOT_VG2_M2ZZ_HtoD_PSEUDO
2412
414k
    0U, // SDOT_VG2_M2ZZ_HtoS_PSEUDO
2413
414k
    0U, // SDOT_VG4_M4Z4Z_BtoS_PSEUDO
2414
414k
    0U, // SDOT_VG4_M4Z4Z_HtoD_PSEUDO
2415
414k
    0U, // SDOT_VG4_M4Z4Z_HtoS_PSEUDO
2416
414k
    0U, // SDOT_VG4_M4ZZI_BToS_PSEUDO
2417
414k
    0U, // SDOT_VG4_M4ZZI_HToS_PSEUDO
2418
414k
    0U, // SDOT_VG4_M4ZZI_HtoD_PSEUDO
2419
414k
    0U, // SDOT_VG4_M4ZZ_BtoS_PSEUDO
2420
414k
    0U, // SDOT_VG4_M4ZZ_HtoD_PSEUDO
2421
414k
    0U, // SDOT_VG4_M4ZZ_HtoS_PSEUDO
2422
414k
    0U, // SEH_AddFP
2423
414k
    0U, // SEH_EpilogEnd
2424
414k
    0U, // SEH_EpilogStart
2425
414k
    0U, // SEH_Nop
2426
414k
    0U, // SEH_PACSignLR
2427
414k
    0U, // SEH_PrologEnd
2428
414k
    0U, // SEH_SaveAnyRegQP
2429
414k
    0U, // SEH_SaveAnyRegQPX
2430
414k
    0U, // SEH_SaveFPLR
2431
414k
    0U, // SEH_SaveFPLR_X
2432
414k
    0U, // SEH_SaveFReg
2433
414k
    0U, // SEH_SaveFRegP
2434
414k
    0U, // SEH_SaveFRegP_X
2435
414k
    0U, // SEH_SaveFReg_X
2436
414k
    0U, // SEH_SaveReg
2437
414k
    0U, // SEH_SaveRegP
2438
414k
    0U, // SEH_SaveRegP_X
2439
414k
    0U, // SEH_SaveReg_X
2440
414k
    0U, // SEH_SetFP
2441
414k
    0U, // SEH_StackAlloc
2442
414k
    0U, // SMAX_ZPZZ_B_UNDEF
2443
414k
    0U, // SMAX_ZPZZ_D_UNDEF
2444
414k
    0U, // SMAX_ZPZZ_H_UNDEF
2445
414k
    0U, // SMAX_ZPZZ_S_UNDEF
2446
414k
    0U, // SMIN_ZPZZ_B_UNDEF
2447
414k
    0U, // SMIN_ZPZZ_D_UNDEF
2448
414k
    0U, // SMIN_ZPZZ_H_UNDEF
2449
414k
    0U, // SMIN_ZPZZ_S_UNDEF
2450
414k
    0U, // SMLALL_MZZI_BtoS_PSEUDO
2451
414k
    0U, // SMLALL_MZZI_HtoD_PSEUDO
2452
414k
    0U, // SMLALL_MZZ_BtoS_PSEUDO
2453
414k
    0U, // SMLALL_MZZ_HtoD_PSEUDO
2454
414k
    0U, // SMLALL_VG2_M2Z2Z_BtoS_PSEUDO
2455
414k
    0U, // SMLALL_VG2_M2Z2Z_HtoD_PSEUDO
2456
414k
    0U, // SMLALL_VG2_M2ZZI_BtoS_PSEUDO
2457
414k
    0U, // SMLALL_VG2_M2ZZI_HtoD_PSEUDO
2458
414k
    0U, // SMLALL_VG2_M2ZZ_BtoS_PSEUDO
2459
414k
    0U, // SMLALL_VG2_M2ZZ_HtoD_PSEUDO
2460
414k
    0U, // SMLALL_VG4_M4Z4Z_BtoS_PSEUDO
2461
414k
    0U, // SMLALL_VG4_M4Z4Z_HtoD_PSEUDO
2462
414k
    0U, // SMLALL_VG4_M4ZZI_BtoS_PSEUDO
2463
414k
    0U, // SMLALL_VG4_M4ZZI_HtoD_PSEUDO
2464
414k
    0U, // SMLALL_VG4_M4ZZ_BtoS_PSEUDO
2465
414k
    0U, // SMLALL_VG4_M4ZZ_HtoD_PSEUDO
2466
414k
    0U, // SMLAL_MZZI_HtoS_PSEUDO
2467
414k
    0U, // SMLAL_MZZ_HtoS_PSEUDO
2468
414k
    0U, // SMLAL_VG2_M2Z2Z_HtoS_PSEUDO
2469
414k
    0U, // SMLAL_VG2_M2ZZI_S_PSEUDO
2470
414k
    0U, // SMLAL_VG2_M2ZZ_HtoS_PSEUDO
2471
414k
    0U, // SMLAL_VG4_M4Z4Z_HtoS_PSEUDO
2472
414k
    0U, // SMLAL_VG4_M4ZZI_HtoS_PSEUDO
2473
414k
    0U, // SMLAL_VG4_M4ZZ_HtoS_PSEUDO
2474
414k
    0U, // SMLSLL_MZZI_BtoS_PSEUDO
2475
414k
    0U, // SMLSLL_MZZI_HtoD_PSEUDO
2476
414k
    0U, // SMLSLL_MZZ_BtoS_PSEUDO
2477
414k
    0U, // SMLSLL_MZZ_HtoD_PSEUDO
2478
414k
    0U, // SMLSLL_VG2_M2Z2Z_BtoS_PSEUDO
2479
414k
    0U, // SMLSLL_VG2_M2Z2Z_HtoD_PSEUDO
2480
414k
    0U, // SMLSLL_VG2_M2ZZI_BtoS_PSEUDO
2481
414k
    0U, // SMLSLL_VG2_M2ZZI_HtoD_PSEUDO
2482
414k
    0U, // SMLSLL_VG2_M2ZZ_BtoS_PSEUDO
2483
414k
    0U, // SMLSLL_VG2_M2ZZ_HtoD_PSEUDO
2484
414k
    0U, // SMLSLL_VG4_M4Z4Z_BtoS_PSEUDO
2485
414k
    0U, // SMLSLL_VG4_M4Z4Z_HtoD_PSEUDO
2486
414k
    0U, // SMLSLL_VG4_M4ZZI_BtoS_PSEUDO
2487
414k
    0U, // SMLSLL_VG4_M4ZZI_HtoD_PSEUDO
2488
414k
    0U, // SMLSLL_VG4_M4ZZ_BtoS_PSEUDO
2489
414k
    0U, // SMLSLL_VG4_M4ZZ_HtoD_PSEUDO
2490
414k
    0U, // SMLSL_MZZI_HtoS_PSEUDO
2491
414k
    0U, // SMLSL_MZZ_HtoS_PSEUDO
2492
414k
    0U, // SMLSL_VG2_M2Z2Z_HtoS_PSEUDO
2493
414k
    0U, // SMLSL_VG2_M2ZZI_S_PSEUDO
2494
414k
    0U, // SMLSL_VG2_M2ZZ_HtoS_PSEUDO
2495
414k
    0U, // SMLSL_VG4_M4Z4Z_HtoS_PSEUDO
2496
414k
    0U, // SMLSL_VG4_M4ZZI_HtoS_PSEUDO
2497
414k
    0U, // SMLSL_VG4_M4ZZ_HtoS_PSEUDO
2498
414k
    0U, // SMOPA_MPPZZ_D_PSEUDO
2499
414k
    0U, // SMOPA_MPPZZ_HtoS_PSEUDO
2500
414k
    0U, // SMOPA_MPPZZ_S_PSEUDO
2501
414k
    0U, // SMOPS_MPPZZ_D_PSEUDO
2502
414k
    0U, // SMOPS_MPPZZ_HtoS_PSEUDO
2503
414k
    0U, // SMOPS_MPPZZ_S_PSEUDO
2504
414k
    0U, // SMULH_ZPZZ_B_UNDEF
2505
414k
    0U, // SMULH_ZPZZ_D_UNDEF
2506
414k
    0U, // SMULH_ZPZZ_H_UNDEF
2507
414k
    0U, // SMULH_ZPZZ_S_UNDEF
2508
414k
    0U, // SPACE
2509
414k
    0U, // SQABS_ZPmZ_B_UNDEF
2510
414k
    0U, // SQABS_ZPmZ_D_UNDEF
2511
414k
    0U, // SQABS_ZPmZ_H_UNDEF
2512
414k
    0U, // SQABS_ZPmZ_S_UNDEF
2513
414k
    0U, // SQNEG_ZPmZ_B_UNDEF
2514
414k
    0U, // SQNEG_ZPmZ_D_UNDEF
2515
414k
    0U, // SQNEG_ZPmZ_H_UNDEF
2516
414k
    0U, // SQNEG_ZPmZ_S_UNDEF
2517
414k
    0U, // SQRSHL_ZPZZ_B_UNDEF
2518
414k
    0U, // SQRSHL_ZPZZ_D_UNDEF
2519
414k
    0U, // SQRSHL_ZPZZ_H_UNDEF
2520
414k
    0U, // SQRSHL_ZPZZ_S_UNDEF
2521
414k
    0U, // SQSHLU_ZPZI_B_ZERO
2522
414k
    0U, // SQSHLU_ZPZI_D_ZERO
2523
414k
    0U, // SQSHLU_ZPZI_H_ZERO
2524
414k
    0U, // SQSHLU_ZPZI_S_ZERO
2525
414k
    0U, // SQSHL_ZPZI_B_ZERO
2526
414k
    0U, // SQSHL_ZPZI_D_ZERO
2527
414k
    0U, // SQSHL_ZPZI_H_ZERO
2528
414k
    0U, // SQSHL_ZPZI_S_ZERO
2529
414k
    0U, // SQSHL_ZPZZ_B_UNDEF
2530
414k
    0U, // SQSHL_ZPZZ_D_UNDEF
2531
414k
    0U, // SQSHL_ZPZZ_H_UNDEF
2532
414k
    0U, // SQSHL_ZPZZ_S_UNDEF
2533
414k
    0U, // SRSHL_ZPZZ_B_UNDEF
2534
414k
    0U, // SRSHL_ZPZZ_D_UNDEF
2535
414k
    0U, // SRSHL_ZPZZ_H_UNDEF
2536
414k
    0U, // SRSHL_ZPZZ_S_UNDEF
2537
414k
    0U, // SRSHR_ZPZI_B_ZERO
2538
414k
    0U, // SRSHR_ZPZI_D_ZERO
2539
414k
    0U, // SRSHR_ZPZI_H_ZERO
2540
414k
    0U, // SRSHR_ZPZI_S_ZERO
2541
414k
    0U, // STGloop
2542
414k
    0U, // STGloop_wback
2543
414k
    0U, // STR_PPXI
2544
414k
    0U, // STR_TX_PSEUDO
2545
414k
    0U, // STR_ZZXI
2546
414k
    0U, // STR_ZZZXI
2547
414k
    0U, // STR_ZZZZXI
2548
414k
    0U, // STZGloop
2549
414k
    0U, // STZGloop_wback
2550
414k
    0U, // SUBR_ZPZZ_B_ZERO
2551
414k
    0U, // SUBR_ZPZZ_D_ZERO
2552
414k
    0U, // SUBR_ZPZZ_H_ZERO
2553
414k
    0U, // SUBR_ZPZZ_S_ZERO
2554
414k
    0U, // SUBSWrr
2555
414k
    0U, // SUBSXrr
2556
414k
    0U, // SUBWrr
2557
414k
    0U, // SUBXrr
2558
414k
    0U, // SUB_VG2_M2Z2Z_D_PSEUDO
2559
414k
    0U, // SUB_VG2_M2Z2Z_S_PSEUDO
2560
414k
    0U, // SUB_VG2_M2ZZ_D_PSEUDO
2561
414k
    0U, // SUB_VG2_M2ZZ_S_PSEUDO
2562
414k
    0U, // SUB_VG2_M2Z_D_PSEUDO
2563
414k
    0U, // SUB_VG2_M2Z_S_PSEUDO
2564
414k
    0U, // SUB_VG4_M4Z4Z_D_PSEUDO
2565
414k
    0U, // SUB_VG4_M4Z4Z_S_PSEUDO
2566
414k
    0U, // SUB_VG4_M4ZZ_D_PSEUDO
2567
414k
    0U, // SUB_VG4_M4ZZ_S_PSEUDO
2568
414k
    0U, // SUB_VG4_M4Z_D_PSEUDO
2569
414k
    0U, // SUB_VG4_M4Z_S_PSEUDO
2570
414k
    0U, // SUB_ZPZZ_B_ZERO
2571
414k
    0U, // SUB_ZPZZ_D_ZERO
2572
414k
    0U, // SUB_ZPZZ_H_ZERO
2573
414k
    0U, // SUB_ZPZZ_S_ZERO
2574
414k
    0U, // SUDOT_VG2_M2ZZI_BToS_PSEUDO
2575
414k
    0U, // SUDOT_VG2_M2ZZ_BToS_PSEUDO
2576
414k
    0U, // SUDOT_VG4_M4ZZI_BToS_PSEUDO
2577
414k
    0U, // SUDOT_VG4_M4ZZ_BToS_PSEUDO
2578
414k
    0U, // SUMLALL_MZZI_BtoS_PSEUDO
2579
414k
    0U, // SUMLALL_VG2_M2ZZI_BtoS_PSEUDO
2580
414k
    0U, // SUMLALL_VG2_M2ZZ_BtoS_PSEUDO
2581
414k
    0U, // SUMLALL_VG4_M4ZZI_BtoS_PSEUDO
2582
414k
    0U, // SUMLALL_VG4_M4ZZ_BtoS_PSEUDO
2583
414k
    0U, // SUMOPA_MPPZZ_D_PSEUDO
2584
414k
    0U, // SUMOPA_MPPZZ_S_PSEUDO
2585
414k
    0U, // SUMOPS_MPPZZ_D_PSEUDO
2586
414k
    0U, // SUMOPS_MPPZZ_S_PSEUDO
2587
414k
    0U, // SUVDOT_VG4_M4ZZI_BToS_PSEUDO
2588
414k
    0U, // SVDOT_VG2_M2ZZI_HtoS_PSEUDO
2589
414k
    0U, // SVDOT_VG4_M4ZZI_BtoS_PSEUDO
2590
414k
    0U, // SVDOT_VG4_M4ZZI_HtoD_PSEUDO
2591
414k
    0U, // SXTB_ZPmZ_D_UNDEF
2592
414k
    0U, // SXTB_ZPmZ_H_UNDEF
2593
414k
    0U, // SXTB_ZPmZ_S_UNDEF
2594
414k
    0U, // SXTH_ZPmZ_D_UNDEF
2595
414k
    0U, // SXTH_ZPmZ_S_UNDEF
2596
414k
    0U, // SXTW_ZPmZ_D_UNDEF
2597
414k
    0U, // SpeculationBarrierISBDSBEndBB
2598
414k
    0U, // SpeculationBarrierSBEndBB
2599
414k
    0U, // SpeculationSafeValueW
2600
414k
    0U, // SpeculationSafeValueX
2601
414k
    0U, // StoreSwiftAsyncContext
2602
414k
    0U, // TAGPstack
2603
414k
    0U, // TCRETURNdi
2604
414k
    0U, // TCRETURNri
2605
414k
    0U, // TCRETURNriALL
2606
414k
    0U, // TCRETURNriBTI
2607
414k
    24834U, // TLSDESCCALL
2608
414k
    0U, // TLSDESC_CALLSEQ
2609
414k
    0U, // UABD_ZPZZ_B_UNDEF
2610
414k
    0U, // UABD_ZPZZ_D_UNDEF
2611
414k
    0U, // UABD_ZPZZ_H_UNDEF
2612
414k
    0U, // UABD_ZPZZ_S_UNDEF
2613
414k
    0U, // UCVTF_ZPmZ_DtoD_UNDEF
2614
414k
    0U, // UCVTF_ZPmZ_DtoH_UNDEF
2615
414k
    0U, // UCVTF_ZPmZ_DtoS_UNDEF
2616
414k
    0U, // UCVTF_ZPmZ_HtoH_UNDEF
2617
414k
    0U, // UCVTF_ZPmZ_StoD_UNDEF
2618
414k
    0U, // UCVTF_ZPmZ_StoH_UNDEF
2619
414k
    0U, // UCVTF_ZPmZ_StoS_UNDEF
2620
414k
    0U, // UDIV_ZPZZ_D_UNDEF
2621
414k
    0U, // UDIV_ZPZZ_S_UNDEF
2622
414k
    0U, // UDOT_VG2_M2Z2Z_BtoS_PSEUDO
2623
414k
    0U, // UDOT_VG2_M2Z2Z_HtoD_PSEUDO
2624
414k
    0U, // UDOT_VG2_M2Z2Z_HtoS_PSEUDO
2625
414k
    0U, // UDOT_VG2_M2ZZI_BToS_PSEUDO
2626
414k
    0U, // UDOT_VG2_M2ZZI_HToS_PSEUDO
2627
414k
    0U, // UDOT_VG2_M2ZZI_HtoD_PSEUDO
2628
414k
    0U, // UDOT_VG2_M2ZZ_BtoS_PSEUDO
2629
414k
    0U, // UDOT_VG2_M2ZZ_HtoD_PSEUDO
2630
414k
    0U, // UDOT_VG2_M2ZZ_HtoS_PSEUDO
2631
414k
    0U, // UDOT_VG4_M4Z4Z_BtoS_PSEUDO
2632
414k
    0U, // UDOT_VG4_M4Z4Z_HtoD_PSEUDO
2633
414k
    0U, // UDOT_VG4_M4Z4Z_HtoS_PSEUDO
2634
414k
    0U, // UDOT_VG4_M4ZZI_BtoS_PSEUDO
2635
414k
    0U, // UDOT_VG4_M4ZZI_HToS_PSEUDO
2636
414k
    0U, // UDOT_VG4_M4ZZI_HtoD_PSEUDO
2637
414k
    0U, // UDOT_VG4_M4ZZ_BtoS_PSEUDO
2638
414k
    0U, // UDOT_VG4_M4ZZ_HtoD_PSEUDO
2639
414k
    0U, // UDOT_VG4_M4ZZ_HtoS_PSEUDO
2640
414k
    0U, // UMAX_ZPZZ_B_UNDEF
2641
414k
    0U, // UMAX_ZPZZ_D_UNDEF
2642
414k
    0U, // UMAX_ZPZZ_H_UNDEF
2643
414k
    0U, // UMAX_ZPZZ_S_UNDEF
2644
414k
    0U, // UMIN_ZPZZ_B_UNDEF
2645
414k
    0U, // UMIN_ZPZZ_D_UNDEF
2646
414k
    0U, // UMIN_ZPZZ_H_UNDEF
2647
414k
    0U, // UMIN_ZPZZ_S_UNDEF
2648
414k
    0U, // UMLALL_MZZI_BtoS_PSEUDO
2649
414k
    0U, // UMLALL_MZZI_HtoD_PSEUDO
2650
414k
    0U, // UMLALL_MZZ_BtoS_PSEUDO
2651
414k
    0U, // UMLALL_MZZ_HtoD_PSEUDO
2652
414k
    0U, // UMLALL_VG2_M2Z2Z_BtoS_PSEUDO
2653
414k
    0U, // UMLALL_VG2_M2Z2Z_HtoD_PSEUDO
2654
414k
    0U, // UMLALL_VG2_M2ZZI_BtoS_PSEUDO
2655
414k
    0U, // UMLALL_VG2_M2ZZI_HtoD_PSEUDO
2656
414k
    0U, // UMLALL_VG2_M2ZZ_BtoS_PSEUDO
2657
414k
    0U, // UMLALL_VG2_M2ZZ_HtoD_PSEUDO
2658
414k
    0U, // UMLALL_VG4_M4Z4Z_BtoS_PSEUDO
2659
414k
    0U, // UMLALL_VG4_M4Z4Z_HtoD_PSEUDO
2660
414k
    0U, // UMLALL_VG4_M4ZZI_BtoS_PSEUDO
2661
414k
    0U, // UMLALL_VG4_M4ZZI_HtoD_PSEUDO
2662
414k
    0U, // UMLALL_VG4_M4ZZ_BtoS_PSEUDO
2663
414k
    0U, // UMLALL_VG4_M4ZZ_HtoD_PSEUDO
2664
414k
    0U, // UMLAL_MZZI_HtoS_PSEUDO
2665
414k
    0U, // UMLAL_MZZ_HtoS_PSEUDO
2666
414k
    0U, // UMLAL_VG2_M2Z2Z_HtoS_PSEUDO
2667
414k
    0U, // UMLAL_VG2_M2ZZI_S_PSEUDO
2668
414k
    0U, // UMLAL_VG2_M2ZZ_HtoS_PSEUDO
2669
414k
    0U, // UMLAL_VG4_M4Z4Z_HtoS_PSEUDO
2670
414k
    0U, // UMLAL_VG4_M4ZZI_HtoS_PSEUDO
2671
414k
    0U, // UMLAL_VG4_M4ZZ_HtoS_PSEUDO
2672
414k
    0U, // UMLSLL_MZZI_BtoS_PSEUDO
2673
414k
    0U, // UMLSLL_MZZI_HtoD_PSEUDO
2674
414k
    0U, // UMLSLL_MZZ_BtoS_PSEUDO
2675
414k
    0U, // UMLSLL_MZZ_HtoD_PSEUDO
2676
414k
    0U, // UMLSLL_VG2_M2Z2Z_BtoS_PSEUDO
2677
414k
    0U, // UMLSLL_VG2_M2Z2Z_HtoD_PSEUDO
2678
414k
    0U, // UMLSLL_VG2_M2ZZI_BtoS_PSEUDO
2679
414k
    0U, // UMLSLL_VG2_M2ZZI_HtoD_PSEUDO
2680
414k
    0U, // UMLSLL_VG2_M2ZZ_BtoS_PSEUDO
2681
414k
    0U, // UMLSLL_VG2_M2ZZ_HtoD_PSEUDO
2682
414k
    0U, // UMLSLL_VG4_M4Z4Z_BtoS_PSEUDO
2683
414k
    0U, // UMLSLL_VG4_M4Z4Z_HtoD_PSEUDO
2684
414k
    0U, // UMLSLL_VG4_M4ZZI_BtoS_PSEUDO
2685
414k
    0U, // UMLSLL_VG4_M4ZZI_HtoD_PSEUDO
2686
414k
    0U, // UMLSLL_VG4_M4ZZ_BtoS_PSEUDO
2687
414k
    0U, // UMLSLL_VG4_M4ZZ_HtoD_PSEUDO
2688
414k
    0U, // UMLSL_MZZI_HtoS_PSEUDO
2689
414k
    0U, // UMLSL_MZZ_HtoS_PSEUDO
2690
414k
    0U, // UMLSL_VG2_M2Z2Z_HtoS_PSEUDO
2691
414k
    0U, // UMLSL_VG2_M2ZZI_S_PSEUDO
2692
414k
    0U, // UMLSL_VG2_M2ZZ_HtoS_PSEUDO
2693
414k
    0U, // UMLSL_VG4_M4Z4Z_HtoS_PSEUDO
2694
414k
    0U, // UMLSL_VG4_M4ZZI_HtoS_PSEUDO
2695
414k
    0U, // UMLSL_VG4_M4ZZ_HtoS_PSEUDO
2696
414k
    0U, // UMOPA_MPPZZ_D_PSEUDO
2697
414k
    0U, // UMOPA_MPPZZ_HtoS_PSEUDO
2698
414k
    0U, // UMOPA_MPPZZ_S_PSEUDO
2699
414k
    0U, // UMOPS_MPPZZ_D_PSEUDO
2700
414k
    0U, // UMOPS_MPPZZ_HtoS_PSEUDO
2701
414k
    0U, // UMOPS_MPPZZ_S_PSEUDO
2702
414k
    0U, // UMULH_ZPZZ_B_UNDEF
2703
414k
    0U, // UMULH_ZPZZ_D_UNDEF
2704
414k
    0U, // UMULH_ZPZZ_H_UNDEF
2705
414k
    0U, // UMULH_ZPZZ_S_UNDEF
2706
414k
    0U, // UQRSHL_ZPZZ_B_UNDEF
2707
414k
    0U, // UQRSHL_ZPZZ_D_UNDEF
2708
414k
    0U, // UQRSHL_ZPZZ_H_UNDEF
2709
414k
    0U, // UQRSHL_ZPZZ_S_UNDEF
2710
414k
    0U, // UQSHL_ZPZI_B_ZERO
2711
414k
    0U, // UQSHL_ZPZI_D_ZERO
2712
414k
    0U, // UQSHL_ZPZI_H_ZERO
2713
414k
    0U, // UQSHL_ZPZI_S_ZERO
2714
414k
    0U, // UQSHL_ZPZZ_B_UNDEF
2715
414k
    0U, // UQSHL_ZPZZ_D_UNDEF
2716
414k
    0U, // UQSHL_ZPZZ_H_UNDEF
2717
414k
    0U, // UQSHL_ZPZZ_S_UNDEF
2718
414k
    0U, // URECPE_ZPmZ_S_UNDEF
2719
414k
    0U, // URSHL_ZPZZ_B_UNDEF
2720
414k
    0U, // URSHL_ZPZZ_D_UNDEF
2721
414k
    0U, // URSHL_ZPZZ_H_UNDEF
2722
414k
    0U, // URSHL_ZPZZ_S_UNDEF
2723
414k
    0U, // URSHR_ZPZI_B_ZERO
2724
414k
    0U, // URSHR_ZPZI_D_ZERO
2725
414k
    0U, // URSHR_ZPZI_H_ZERO
2726
414k
    0U, // URSHR_ZPZI_S_ZERO
2727
414k
    0U, // URSQRTE_ZPmZ_S_UNDEF
2728
414k
    0U, // USDOT_VG2_M2Z2Z_BToS_PSEUDO
2729
414k
    0U, // USDOT_VG2_M2ZZI_BToS_PSEUDO
2730
414k
    0U, // USDOT_VG2_M2ZZ_BToS_PSEUDO
2731
414k
    0U, // USDOT_VG4_M4Z4Z_BToS_PSEUDO
2732
414k
    0U, // USDOT_VG4_M4ZZI_BToS_PSEUDO
2733
414k
    0U, // USDOT_VG4_M4ZZ_BToS_PSEUDO
2734
414k
    0U, // USMLALL_MZZI_BtoS_PSEUDO
2735
414k
    0U, // USMLALL_MZZ_BtoS_PSEUDO
2736
414k
    0U, // USMLALL_VG2_M2Z2Z_BtoS_PSEUDO
2737
414k
    0U, // USMLALL_VG2_M2ZZI_BtoS_PSEUDO
2738
414k
    0U, // USMLALL_VG2_M2ZZ_BtoS_PSEUDO
2739
414k
    0U, // USMLALL_VG4_M4Z4Z_BtoS_PSEUDO
2740
414k
    0U, // USMLALL_VG4_M4ZZI_BtoS_PSEUDO
2741
414k
    0U, // USMLALL_VG4_M4ZZ_BtoS_PSEUDO
2742
414k
    0U, // USMOPA_MPPZZ_D_PSEUDO
2743
414k
    0U, // USMOPA_MPPZZ_S_PSEUDO
2744
414k
    0U, // USMOPS_MPPZZ_D_PSEUDO
2745
414k
    0U, // USMOPS_MPPZZ_S_PSEUDO
2746
414k
    0U, // USVDOT_VG4_M4ZZI_BToS_PSEUDO
2747
414k
    0U, // UVDOT_VG2_M2ZZI_HtoS_PSEUDO
2748
414k
    0U, // UVDOT_VG4_M4ZZI_BtoS_PSEUDO
2749
414k
    0U, // UVDOT_VG4_M4ZZI_HtoD_PSEUDO
2750
414k
    0U, // UXTB_ZPmZ_D_UNDEF
2751
414k
    0U, // UXTB_ZPmZ_H_UNDEF
2752
414k
    0U, // UXTB_ZPmZ_S_UNDEF
2753
414k
    0U, // UXTH_ZPmZ_D_UNDEF
2754
414k
    0U, // UXTH_ZPmZ_S_UNDEF
2755
414k
    0U, // UXTW_ZPmZ_D_UNDEF
2756
414k
    0U, // ZERO_M_PSEUDO
2757
414k
    0U, // ZERO_T_PSEUDO
2758
414k
    2120043U, // ABSWr
2759
414k
    2120043U, // ABSXr
2760
414k
    270571883U, // ABS_ZPmZ_B
2761
414k
    270588267U, // ABS_ZPmZ_D
2762
414k
    541137259U, // ABS_ZPmZ_H
2763
414k
    270621035U, // ABS_ZPmZ_S
2764
414k
    811702635U, // ABSv16i8
2765
414k
    2120043U, // ABSv1i64
2766
414k
    813799787U, // ABSv2i32
2767
414k
    815896939U, // ABSv2i64
2768
414k
    817994091U, // ABSv4i16
2769
414k
    820091243U, // ABSv4i32
2770
414k
    822188395U, // ABSv8i16
2771
414k
    824285547U, // ABSv8i8
2772
414k
    1075889865U,  // ADCLB_ZZZ_D
2773
414k
    1344358089U,  // ADCLB_ZZZ_S
2774
414k
    1075895144U,  // ADCLT_ZZZ_D
2775
414k
    1344363368U,  // ADCLT_ZZZ_S
2776
414k
    2120082U, // ADCSWr
2777
414k
    2120082U, // ADCSXr
2778
414k
    2116124U, // ADCWr
2779
414k
    2116124U, // ADCXr
2780
414k
    2116733U, // ADDG
2781
414k
    1631699730U,  // ADDHA_MPPZ_D
2782
414k
    1633796882U,  // ADDHA_MPPZ_S
2783
414k
    1881180078U,  // ADDHNB_ZZZ_B
2784
414k
    2172716974U,  // ADDHNB_ZZZ_H
2785
414k
    2418100142U,  // ADDHNB_ZZZ_S
2786
414k
    2686491695U,  // ADDHNT_ZZZ_B
2787
414k
    2174819375U,  // ADDHNT_ZZZ_H
2788
414k
    1075928111U,  // ADDHNT_ZZZ_S
2789
414k
    813798567U, // ADDHNv2i64_v2i32
2790
414k
    2967601578U,  // ADDHNv2i64_v4i32
2791
414k
    817992871U, // ADDHNv4i32_v4i16
2792
414k
    2969698730U,  // ADDHNv4i32_v8i16
2793
414k
    2959212970U,  // ADDHNv8i16_v16i8
2794
414k
    824284327U, // ADDHNv8i16_v8i8
2795
414k
    2118338U, // ADDPL_XXI
2796
414k
    2120947U, // ADDPT_shift
2797
414k
    3223360970U,  // ADDP_ZPmZ_B
2798
414k
    3223377354U,  // ADDP_ZPmZ_D
2799
414k
    3519092170U,  // ADDP_ZPmZ_H
2800
414k
    3223410122U,  // ADDP_ZPmZ_S
2801
414k
    811701706U, // ADDPv16i8
2802
414k
    813798858U, // ADDPv2i32
2803
414k
    815896010U, // ADDPv2i64
2804
414k
    807425482U, // ADDPv2i64p
2805
414k
    817993162U, // ADDPv4i16
2806
414k
    820090314U, // ADDPv4i32
2807
414k
    822187466U, // ADDPv8i16
2808
414k
    824284618U, // ADDPv8i8
2809
414k
    3227623016U,  // ADDQV_VPZ_B
2810
414k
    3231817320U,  // ADDQV_VPZ_D
2811
414k
    3238108776U,  // ADDQV_VPZ_H
2812
414k
    3236011624U,  // ADDQV_VPZ_S
2813
414k
    2118417U, // ADDSPL_XXI
2814
414k
    2118664U, // ADDSVL_XXI
2815
414k
    2120094U, // ADDSWri
2816
414k
    2120094U, // ADDSWrs
2817
414k
    2120094U, // ADDSWrx
2818
414k
    2120094U, // ADDSXri
2819
414k
    2120094U, // ADDSXrs
2820
414k
    2120094U, // ADDSXrx
2821
414k
    2120094U, // ADDSXrx64
2822
414k
    1631700108U,  // ADDVA_MPPZ_D
2823
414k
    1633797260U,  // ADDVA_MPPZ_S
2824
414k
    2118651U, // ADDVL_XXI
2825
414k
    807427552U, // ADDVv16i8v
2826
414k
    807427552U, // ADDVv4i16v
2827
414k
    807427552U, // ADDVv4i32v
2828
414k
    807427552U, // ADDVv8i16v
2829
414k
    807427552U, // ADDVv8i8v
2830
414k
    2116369U, // ADDWri
2831
414k
    2116369U, // ADDWrs
2832
414k
    2116369U, // ADDWrx
2833
414k
    2116369U, // ADDXri
2834
414k
    2116369U, // ADDXrs
2835
414k
    2116369U, // ADDXrx
2836
414k
    2116369U, // ADDXrx64
2837
414k
    2179091217U,  // ADD_VG2_2ZZ_B
2838
414k
    2181204753U,  // ADD_VG2_2ZZ_D
2839
414k
    2183318289U,  // ADD_VG2_2ZZ_H
2840
414k
    2185431825U,  // ADD_VG2_2ZZ_S
2841
414k
    3798158097U,  // ADD_VG2_M2Z2Z_D
2842
414k
    3798174481U,  // ADD_VG2_M2Z2Z_S
2843
414k
    3798158097U,  // ADD_VG2_M2ZZ_D
2844
414k
    3798174481U,  // ADD_VG2_M2ZZ_S
2845
414k
    3798158097U,  // ADD_VG2_M2Z_D
2846
414k
    3798174481U,  // ADD_VG2_M2Z_S
2847
414k
    2179091217U,  // ADD_VG4_4ZZ_B
2848
414k
    2181204753U,  // ADD_VG4_4ZZ_D
2849
414k
    2183318289U,  // ADD_VG4_4ZZ_H
2850
414k
    2185431825U,  // ADD_VG4_4ZZ_S
2851
414k
    4066593553U,  // ADD_VG4_M4Z4Z_D
2852
414k
    4066609937U,  // ADD_VG4_M4Z4Z_S
2853
414k
    4066593553U,  // ADD_VG4_M4ZZ_D
2854
414k
    4066609937U,  // ADD_VG4_M4ZZ_S
2855
414k
    4066593553U,  // ADD_VG4_M4Z_D
2856
414k
    4066609937U,  // ADD_VG4_M4Z_S
2857
414k
    2132753U, // ADD_ZI_B
2858
414k
    2418068241U,  // ADD_ZI_D
2859
414k
    2189495057U,  // ADD_ZI_H
2860
414k
    270617361U, // ADD_ZI_S
2861
414k
    3223358225U,  // ADD_ZPmZ_B
2862
414k
    3223379187U,  // ADD_ZPmZ_CPA
2863
414k
    3223374609U,  // ADD_ZPmZ_D
2864
414k
    3519089425U,  // ADD_ZPmZ_H
2865
414k
    3223407377U,  // ADD_ZPmZ_S
2866
414k
    2132753U, // ADD_ZZZ_B
2867
414k
    2418072819U,  // ADD_ZZZ_CPA
2868
414k
    2418068241U,  // ADD_ZZZ_D
2869
414k
    2189495057U,  // ADD_ZZZ_H
2870
414k
    270617361U, // ADD_ZZZ_S
2871
414k
    811698961U, // ADDv16i8
2872
414k
    2116369U, // ADDv1i64
2873
414k
    813796113U, // ADDv2i32
2874
414k
    815893265U, // ADDv2i64
2875
414k
    817990417U, // ADDv4i16
2876
414k
    820087569U, // ADDv4i32
2877
414k
    822184721U, // ADDv8i16
2878
414k
    824281873U, // ADDv8i8
2879
414k
    538990570U, // ADR
2880
414k
    538990209U, // ADRP
2881
414k
    2460014570U,  // ADR_LSL_ZZZ_D_0
2882
414k
    2460014570U,  // ADR_LSL_ZZZ_D_1
2883
414k
    2460014570U,  // ADR_LSL_ZZZ_D_2
2884
414k
    2460014570U,  // ADR_LSL_ZZZ_D_3
2885
414k
    312563690U, // ADR_LSL_ZZZ_S_0
2886
414k
    312563690U, // ADR_LSL_ZZZ_S_1
2887
414k
    312563690U, // ADR_LSL_ZZZ_S_2
2888
414k
    312563690U, // ADR_LSL_ZZZ_S_3
2889
414k
    2460014570U,  // ADR_SXTW_ZZZ_D_0
2890
414k
    2460014570U,  // ADR_SXTW_ZZZ_D_1
2891
414k
    2460014570U,  // ADR_SXTW_ZZZ_D_2
2892
414k
    2460014570U,  // ADR_SXTW_ZZZ_D_3
2893
414k
    2460014570U,  // ADR_UXTW_ZZZ_D_0
2894
414k
    2460014570U,  // ADR_UXTW_ZZZ_D_1
2895
414k
    2460014570U,  // ADR_UXTW_ZZZ_D_2
2896
414k
    2460014570U,  // ADR_UXTW_ZZZ_D_3
2897
414k
    2132882U, // AESD_ZZZ_B
2898
414k
    2959215506U,  // AESDrr
2899
414k
    2133029U, // AESE_ZZZ_B
2900
414k
    2959215653U,  // AESErr
2901
414k
    2132518U, // AESIMC_ZZ_B
2902
414k
    811698726U, // AESIMCrr
2903
414k
    2132526U, // AESMC_ZZ_B
2904
414k
    811698734U, // AESMCrr
2905
414k
    3227623023U,  // ANDQV_VPZ_B
2906
414k
    3231817327U,  // ANDQV_VPZ_D
2907
414k
    3238108783U,  // ANDQV_VPZ_H
2908
414k
    3236011631U,  // ANDQV_VPZ_S
2909
414k
    2120101U, // ANDSWri
2910
414k
    2120101U, // ANDSWrs
2911
414k
    2120101U, // ANDSXri
2912
414k
    2120101U, // ANDSXrs
2913
414k
    3223361957U,  // ANDS_PPzPP
2914
414k
    253428U,  // ANDV_VPZ_B
2915
414k
    1657019892U,  // ANDV_VPZ_D
2916
414k
    1659133428U,  // ANDV_VPZ_H
2917
414k
    1638178292U,  // ANDV_VPZ_S
2918
414k
    2116464U, // ANDWri
2919
414k
    2116464U, // ANDWrs
2920
414k
    2116464U, // ANDXri
2921
414k
    2116464U, // ANDXrs
2922
414k
    3223358320U,  // AND_PPzPP
2923
414k
    2418068336U,  // AND_ZI
2924
414k
    3223358320U,  // AND_ZPmZ_B
2925
414k
    3223374704U,  // AND_ZPmZ_D
2926
414k
    3519089520U,  // AND_ZPmZ_H
2927
414k
    3223407472U,  // AND_ZPmZ_S
2928
414k
    2418068336U,  // AND_ZZZ
2929
414k
    811699056U, // ANDv16i8
2930
414k
    824281968U, // ANDv8i8
2931
414k
    3223358348U,  // ASRD_ZPmI_B
2932
414k
    3223374732U,  // ASRD_ZPmI_D
2933
414k
    3519089548U,  // ASRD_ZPmI_H
2934
414k
    3223407500U,  // ASRD_ZPmI_S
2935
414k
    3223361707U,  // ASRR_ZPmZ_B
2936
414k
    3223378091U,  // ASRR_ZPmZ_D
2937
414k
    3519092907U,  // ASRR_ZPmZ_H
2938
414k
    3223410859U,  // ASRR_ZPmZ_S
2939
414k
    2119869U, // ASRVWr
2940
414k
    2119869U, // ASRVXr
2941
414k
    3223361725U,  // ASR_WIDE_ZPmZ_B
2942
414k
    3519092925U,  // ASR_WIDE_ZPmZ_H
2943
414k
    3223410877U,  // ASR_WIDE_ZPmZ_S
2944
414k
    2136253U, // ASR_WIDE_ZZZ_B
2945
414k
    2189498557U,  // ASR_WIDE_ZZZ_H
2946
414k
    270620861U, // ASR_WIDE_ZZZ_S
2947
414k
    3223361725U,  // ASR_ZPmI_B
2948
414k
    3223378109U,  // ASR_ZPmI_D
2949
414k
    3519092925U,  // ASR_ZPmI_H
2950
414k
    3223410877U,  // ASR_ZPmI_S
2951
414k
    3223361725U,  // ASR_ZPmZ_B
2952
414k
    3223378109U,  // ASR_ZPmZ_D
2953
414k
    3519092925U,  // ASR_ZPmZ_H
2954
414k
    3223410877U,  // ASR_ZPmZ_S
2955
414k
    2136253U, // ASR_ZZI_B
2956
414k
    2418071741U,  // ASR_ZZI_D
2957
414k
    2189498557U,  // ASR_ZZI_H
2958
414k
    270620861U, // ASR_ZZI_S
2959
414k
    807715588U, // AUTDA
2960
414k
    807716339U, // AUTDB
2961
414k
    312499U,  // AUTDZA
2962
414k
    313848U,  // AUTDZB
2963
414k
    807715616U, // AUTIA
2964
414k
    8663U,  // AUTIA1716
2965
414k
    8702U,  // AUTIA171615
2966
414k
    8790U,  // AUTIASP
2967
414k
    330311U,  // AUTIASPPCi
2968
414k
    19015U, // AUTIASPPCr
2969
414k
    8781U,  // AUTIAZ
2970
414k
    807716366U, // AUTIB
2971
414k
    8672U,  // AUTIB1716
2972
414k
    8726U,  // AUTIB171615
2973
414k
    8654U,  // AUTIBSP
2974
414k
    330333U,  // AUTIBSPPCi
2975
414k
    19037U, // AUTIBSPPCr
2976
414k
    8636U,  // AUTIBZ
2977
414k
    312515U,  // AUTIZA
2978
414k
    313864U,  // AUTIZB
2979
414k
    10145U, // AXFLAG
2980
414k
    328910U,  // B
2981
414k
    811704357U, // BCAX
2982
414k
    2418073637U,  // BCAX_ZZZZ
2983
414k
    352570U,  // BCcc
2984
414k
    2135509U, // BDEP_ZZZ_B
2985
414k
    2418070997U,  // BDEP_ZZZ_D
2986
414k
    2189497813U,  // BDEP_ZZZ_H
2987
414k
    270620117U, // BDEP_ZZZ_S
2988
414k
    2137475U, // BEXT_ZZZ_B
2989
414k
    2418072963U,  // BEXT_ZZZ_D
2990
414k
    2189499779U,  // BEXT_ZZZ_H
2991
414k
    270622083U, // BEXT_ZZZ_S
2992
414k
    2961317033U,  // BF16DOTlanev4bf16
2993
414k
    2967608489U,  // BF16DOTlanev8bf16
2994
414k
    822182276U, // BF1CVTL2v8f16
2995
414k
    1661017088U,  // BF1CVTLT_ZZ_BtoH
2996
414k
    1661129670U,  // BF1CVTL_2ZZ_BtoH_NAME
2997
414k
    822186950U, // BF1CVTLv8f16
2998
414k
    1661132088U,  // BF1CVT_2ZZ_BtoH_NAME
2999
414k
    1661017400U,  // BF1CVT_ZZ_BtoH
3000
414k
    822182286U, // BF2CVTL2v8f16
3001
414k
    1661017098U,  // BF2CVTLT_ZZ_BtoH
3002
414k
    1661129679U,  // BF2CVTL_2ZZ_BtoH_NAME
3003
414k
    822186959U, // BF2CVTLv8f16
3004
414k
    1661132096U,  // BF2CVT_2ZZ_BtoH_NAME
3005
414k
    1661017408U,  // BF2CVT_ZZ_BtoH
3006
414k
    3798305573U,  // BFADD_VG2_M2Z_H
3007
414k
    4066741029U,  // BFADD_VG4_M4Z_H
3008
414k
    3519089445U,  // BFADD_ZPmZZ
3009
414k
    2189495077U,  // BFADD_ZZZ
3010
414k
    2195904013U,  // BFCLAMP_VG2_2ZZZ_H
3011
414k
    2195904013U,  // BFCLAMP_VG4_4ZZZ_H
3012
414k
    2195789325U,  // BFCLAMP_ZZZ
3013
414k
    2121032U, // BFCVT
3014
414k
    817992972U, // BFCVTN
3015
414k
    2969698782U,  // BFCVTN2
3016
414k
    1078008931U,  // BFCVTNT_ZPmZ
3017
414k
    1344312588U,  // BFCVTN_Z2Z_HtoB
3018
414k
    1648432396U,  // BFCVTN_Z2Z_StoH
3019
414k
    1344314696U,  // BFCVT_Z2Z_HtoB
3020
414k
    1648434504U,  // BFCVT_Z2Z_StoH
3021
414k
    1078009160U,  // BFCVT_ZPmZ
3022
414k
    3798178985U,  // BFDOT_VG2_M2Z2Z_HtoS
3023
414k
    3798178985U,  // BFDOT_VG2_M2ZZI_HtoS
3024
414k
    3798178985U,  // BFDOT_VG2_M2ZZ_HtoS
3025
414k
    4066614441U,  // BFDOT_VG4_M4Z4Z_HtoS
3026
414k
    4066614441U,  // BFDOT_VG4_M4ZZI_HtoS
3027
414k
    4066614441U,  // BFDOT_VG4_M4ZZ_HtoS
3028
414k
    2686540969U,  // BFDOT_ZZI
3029
414k
    2686540969U,  // BFDOT_ZZZ
3030
414k
    2961317033U,  // BFDOTv4bf16
3031
414k
    2967608489U,  // BFDOTv8bf16
3032
414k
    2183320681U,  // BFMAXNM_VG2_2Z2Z_H
3033
414k
    2183320681U,  // BFMAXNM_VG2_2ZZ_H
3034
414k
    2183320681U,  // BFMAXNM_VG4_4Z2Z_H
3035
414k
    2183320681U,  // BFMAXNM_VG4_4ZZ_H
3036
414k
    3519091817U,  // BFMAXNM_ZPmZZ
3037
414k
    2183323698U,  // BFMAX_VG2_2Z2Z_H
3038
414k
    2183323698U,  // BFMAX_VG2_2ZZ_H
3039
414k
    2183323698U,  // BFMAX_VG4_4Z2Z_H
3040
414k
    2183323698U,  // BFMAX_VG4_4ZZ_H
3041
414k
    3519094834U,  // BFMAX_ZPmZZ
3042
414k
    2183320672U,  // BFMINNM_VG2_2Z2Z_H
3043
414k
    2183320672U,  // BFMINNM_VG2_2ZZ_H
3044
414k
    2183320672U,  // BFMINNM_VG4_4Z2Z_H
3045
414k
    2183320672U,  // BFMINNM_VG4_4ZZ_H
3046
414k
    3519091808U,  // BFMINNM_ZPmZZ
3047
414k
    2183320757U,  // BFMIN_VG2_2Z2Z_H
3048
414k
    2183320757U,  // BFMIN_VG2_2ZZ_H
3049
414k
    2183320757U,  // BFMIN_VG4_4Z2Z_H
3050
414k
    2183320757U,  // BFMIN_VG4_4ZZ_H
3051
414k
    3519091893U,  // BFMIN_ZPmZZ
3052
414k
    2967602751U,  // BFMLALB
3053
414k
    2967602751U,  // BFMLALBIdx
3054
414k
    2686535231U,  // BFMLALB_ZZZ
3055
414k
    2686535231U,  // BFMLALB_ZZZI
3056
414k
    2967608120U,  // BFMLALT
3057
414k
    2967608120U,  // BFMLALTIdx
3058
414k
    2686540600U,  // BFMLALT_ZZZ
3059
414k
    2686540600U,  // BFMLALT_ZZZI
3060
414k
    1663275152U,  // BFMLAL_MZZI_HtoS
3061
414k
    1663275152U,  // BFMLAL_MZZ_HtoS
3062
414k
    3810758800U,  // BFMLAL_VG2_M2Z2Z_HtoS
3063
414k
    3810758800U,  // BFMLAL_VG2_M2ZZI_HtoS
3064
414k
    3810758800U,  // BFMLAL_VG2_M2ZZ_HtoS
3065
414k
    4079194256U,  // BFMLAL_VG4_M4Z4Z_HtoS
3066
414k
    4079194256U,  // BFMLAL_VG4_M4ZZI_HtoS
3067
414k
    4079194256U,  // BFMLAL_VG4_M4ZZ_HtoS
3068
414k
    3798303540U,  // BFMLA_VG2_M2Z2Z
3069
414k
    3798303540U,  // BFMLA_VG2_M2ZZ
3070
414k
    3798303540U,  // BFMLA_VG2_M2ZZI
3071
414k
    4066738996U,  // BFMLA_VG4_M4Z4Z
3072
414k
    4066738996U,  // BFMLA_VG4_M4ZZ
3073
414k
    4066738996U,  // BFMLA_VG4_M4ZZI
3074
414k
    3519087412U,  // BFMLA_ZPmZZ
3075
414k
    2195784500U,  // BFMLA_ZZZI
3076
414k
    2686535529U,  // BFMLSLB_ZZZI_S
3077
414k
    2686535529U,  // BFMLSLB_ZZZ_S
3078
414k
    2686540775U,  // BFMLSLT_ZZZI_S
3079
414k
    2686540775U,  // BFMLSLT_ZZZ_S
3080
414k
    1663275919U,  // BFMLSL_MZZI_HtoS
3081
414k
    1663275919U,  // BFMLSL_MZZ_HtoS
3082
414k
    3810759567U,  // BFMLSL_VG2_M2Z2Z_HtoS
3083
414k
    3810759567U,  // BFMLSL_VG2_M2ZZI_HtoS
3084
414k
    3810759567U,  // BFMLSL_VG2_M2ZZ_HtoS
3085
414k
    4079195023U,  // BFMLSL_VG4_M4Z4Z_HtoS
3086
414k
    4079195023U,  // BFMLSL_VG4_M4ZZI_HtoS
3087
414k
    4079195023U,  // BFMLSL_VG4_M4ZZ_HtoS
3088
414k
    3798309335U,  // BFMLS_VG2_M2Z2Z
3089
414k
    3798309335U,  // BFMLS_VG2_M2ZZ
3090
414k
    3798309335U,  // BFMLS_VG2_M2ZZI
3091
414k
    4066744791U,  // BFMLS_VG4_M4Z4Z
3092
414k
    4066744791U,  // BFMLS_VG4_M4ZZ
3093
414k
    4066744791U,  // BFMLS_VG4_M4ZZI
3094
414k
    3519093207U,  // BFMLS_ZPmZZ
3095
414k
    2195790295U,  // BFMLS_ZZZI
3096
414k
    2967601979U,  // BFMMLA
3097
414k
    2686534459U,  // BFMMLA_ZZZ
3098
414k
    54641529U,  // BFMOPA_MPPZZ
3099
414k
    54641529U,  // BFMOPA_MPPZZ_H
3100
414k
    54647332U,  // BFMOPS_MPPZZ
3101
414k
    54647332U,  // BFMOPS_MPPZZ_H
3102
414k
    3519091679U,  // BFMUL_ZPmZZ
3103
414k
    2189497311U,  // BFMUL_ZZZ
3104
414k
    2189497311U,  // BFMUL_ZZZI
3105
414k
    807425073U, // BFMWri
3106
414k
    807425073U, // BFMXri
3107
414k
    3798305158U,  // BFSUB_VG2_M2Z_H
3108
414k
    4066740614U,  // BFSUB_VG4_M4Z_H
3109
414k
    3519089030U,  // BFSUB_ZPmZZ
3110
414k
    2189494662U,  // BFSUB_ZZZ
3111
414k
    3798179006U,  // BFVDOT_VG2_M2ZZI_HtoS
3112
414k
    2135687U, // BGRP_ZZZ_B
3113
414k
    2418071175U,  // BGRP_ZZZ_D
3114
414k
    2189497991U,  // BGRP_ZZZ_H
3115
414k
    270620295U, // BGRP_ZZZ_S
3116
414k
    2120088U, // BICSWrs
3117
414k
    2120088U, // BICSXrs
3118
414k
    3223361944U,  // BICS_PPzPP
3119
414k
    2116129U, // BICWrs
3120
414k
    2116129U, // BICXrs
3121
414k
    3223357985U,  // BIC_PPzPP
3122
414k
    3223357985U,  // BIC_ZPmZ_B
3123
414k
    3223374369U,  // BIC_ZPmZ_D
3124
414k
    3519089185U,  // BIC_ZPmZ_H
3125
414k
    3223407137U,  // BIC_ZPmZ_S
3126
414k
    2418068001U,  // BIC_ZZZ
3127
414k
    811698721U, // BICv16i8
3128
414k
    1887570465U,  // BICv2i32
3129
414k
    1891764769U,  // BICv4i16
3130
414k
    1893861921U,  // BICv4i32
3131
414k
    1895959073U,  // BICv8i16
3132
414k
    824281633U, // BICv8i8
3133
414k
    2959215697U,  // BIFv16i8
3134
414k
    2971798609U,  // BIFv8i8
3135
414k
    2959219468U,  // BITv16i8
3136
414k
    2971802380U,  // BITv8i8
3137
414k
    332206U,  // BL
3138
414k
    22572U, // BLR
3139
414k
    2114267U, // BLRAA
3140
414k
    24758U, // BLRAAZ
3141
414k
    2114932U, // BLRAB
3142
414k
    24780U, // BLRABZ
3143
414k
    2170667890U,  // BMOPA_MPPZZ_S
3144
414k
    2170673693U,  // BMOPS_MPPZZ_S
3145
414k
    22470U, // BR
3146
414k
    2114254U, // BRAA
3147
414k
    24751U, // BRAAZ
3148
414k
    2114919U, // BRAB
3149
414k
    24773U, // BRABZ
3150
414k
    10174U, // BRB_IALL
3151
414k
    10152U, // BRB_INJ
3152
414k
    381029U,  // BRK
3153
414k
    3223361875U,  // BRKAS_PPzP
3154
414k
    270566183U, // BRKA_PPmP
3155
414k
    3223356199U,  // BRKA_PPzP
3156
414k
    3223361911U,  // BRKBS_PPzP
3157
414k
    270566933U, // BRKB_PPmP
3158
414k
    3223356949U,  // BRKB_PPzP
3159
414k
    3223362041U,  // BRKNS_PPzP
3160
414k
    3223360716U,  // BRKN_PPzP
3161
414k
    3223361882U,  // BRKPAS_PPzPP
3162
414k
    3223356267U,  // BRKPA_PPzPP
3163
414k
    3223361918U,  // BRKPBS_PPzPP
3164
414k
    3223357484U,  // BRKPB_PPzPP
3165
414k
    2418070672U,  // BSL1N_ZZZZ
3166
414k
    2418070679U,  // BSL2N_ZZZZ
3167
414k
    2418070401U,  // BSL_ZZZZ
3168
414k
    2959217537U,  // BSLv16i8
3169
414k
    2971800449U,  // BSLv8i8
3170
414k
    352567U,  // Bcc
3171
414k
    2132752U, // CADD_ZZI_B
3172
414k
    2418068240U,  // CADD_ZZI_D
3173
414k
    2189495056U,  // CADD_ZZI_H
3174
414k
    270617360U, // CADD_ZZI_S
3175
414k
    807716237U, // CASAB
3176
414k
    807718257U, // CASAH
3177
414k
    807716490U, // CASALB
3178
414k
    807718416U, // CASALH
3179
414k
    807719274U, // CASALW
3180
414k
    807719274U, // CASALX
3181
414k
    807715922U, // CASAW
3182
414k
    807715922U, // CASAX
3183
414k
    807717111U, // CASB
3184
414k
    807718801U, // CASH
3185
414k
    807716696U, // CASLB
3186
414k
    807718510U, // CASLH
3187
414k
    807719793U, // CASLW
3188
414k
    807719793U, // CASLX
3189
414k
    397558U,  // CASPALW
3190
414k
    413942U,  // CASPALX
3191
414k
    394184U,  // CASPAW
3192
414k
    410568U,  // CASPAX
3193
414k
    398080U,  // CASPLW
3194
414k
    414464U,  // CASPLX
3195
414k
    399020U,  // CASPW
3196
414k
    415404U,  // CASPX
3197
414k
    807721286U, // CASW
3198
414k
    807721286U, // CASX
3199
414k
    2149605603U,  // CBNZW
3200
414k
    2149605603U,  // CBNZX
3201
414k
    2149605588U,  // CBZW
3202
414k
    2149605588U,  // CBZX
3203
414k
    2118866U, // CCMNWi
3204
414k
    2118866U, // CCMNWr
3205
414k
    2118866U, // CCMNXi
3206
414k
    2118866U, // CCMNXr
3207
414k
    2119207U, // CCMPWi
3208
414k
    2119207U, // CCMPWr
3209
414k
    2119207U, // CCMPXi
3210
414k
    2119207U, // CCMPXr
3211
414k
    2686508195U,  // CDOT_ZZZI_D
3212
414k
    2418105507U,  // CDOT_ZZZI_S
3213
414k
    2686508195U,  // CDOT_ZZZ_D
3214
414k
    2418105507U,  // CDOT_ZZZ_S
3215
414k
    10208U, // CFINV
3216
414k
    8645U,  // CHKFEAT
3217
414k
    3223340164U,  // CLASTA_RPZ_B
3218
414k
    3223340164U,  // CLASTA_RPZ_D
3219
414k
    3223340164U,  // CLASTA_RPZ_H
3220
414k
    3223340164U,  // CLASTA_RPZ_S
3221
414k
    3223340164U,  // CLASTA_VPZ_B
3222
414k
    3223340164U,  // CLASTA_VPZ_D
3223
414k
    3223340164U,  // CLASTA_VPZ_H
3224
414k
    3223340164U,  // CLASTA_VPZ_S
3225
414k
    3223356548U,  // CLASTA_ZPZ_B
3226
414k
    3223372932U,  // CLASTA_ZPZ_D
3227
414k
    2176910468U,  // CLASTA_ZPZ_H
3228
414k
    3223405700U,  // CLASTA_ZPZ_S
3229
414k
    3223341426U,  // CLASTB_RPZ_B
3230
414k
    3223341426U,  // CLASTB_RPZ_D
3231
414k
    3223341426U,  // CLASTB_RPZ_H
3232
414k
    3223341426U,  // CLASTB_RPZ_S
3233
414k
    3223341426U,  // CLASTB_VPZ_B
3234
414k
    3223341426U,  // CLASTB_VPZ_D
3235
414k
    3223341426U,  // CLASTB_VPZ_H
3236
414k
    3223341426U,  // CLASTB_VPZ_S
3237
414k
    3223357810U,  // CLASTB_ZPZ_B
3238
414k
    3223374194U,  // CLASTB_ZPZ_D
3239
414k
    2176911730U,  // CLASTB_ZPZ_H
3240
414k
    3223406962U,  // CLASTB_ZPZ_S
3241
414k
    24670U, // CLREX
3242
414k
    2120137U, // CLSWr
3243
414k
    2120137U, // CLSXr
3244
414k
    270571977U, // CLS_ZPmZ_B
3245
414k
    270588361U, // CLS_ZPmZ_D
3246
414k
    541137353U, // CLS_ZPmZ_H
3247
414k
    270621129U, // CLS_ZPmZ_S
3248
414k
    811702729U, // CLSv16i8
3249
414k
    813799881U, // CLSv2i32
3250
414k
    817994185U, // CLSv4i16
3251
414k
    820091337U, // CLSv4i32
3252
414k
    822188489U, // CLSv8i16
3253
414k
    824285641U, // CLSv8i8
3254
414k
    2121950U, // CLZWr
3255
414k
    2121950U, // CLZXr
3256
414k
    270573790U, // CLZ_ZPmZ_B
3257
414k
    270590174U, // CLZ_ZPmZ_D
3258
414k
    541139166U, // CLZ_ZPmZ_H
3259
414k
    270622942U, // CLZ_ZPmZ_S
3260
414k
    811704542U, // CLZv16i8
3261
414k
    813801694U, // CLZv2i32
3262
414k
    817995998U, // CLZv4i16
3263
414k
    820093150U, // CLZv4i32
3264
414k
    822190302U, // CLZv8i16
3265
414k
    824287454U, // CLZv8i8
3266
414k
    811702123U, // CMEQv16i8
3267
414k
    811702123U, // CMEQv16i8rz
3268
414k
    2119531U, // CMEQv1i64
3269
414k
    2119531U, // CMEQv1i64rz
3270
414k
    813799275U, // CMEQv2i32
3271
414k
    813799275U, // CMEQv2i32rz
3272
414k
    815896427U, // CMEQv2i64
3273
414k
    815896427U, // CMEQv2i64rz
3274
414k
    817993579U, // CMEQv4i16
3275
414k
    817993579U, // CMEQv4i16rz
3276
414k
    820090731U, // CMEQv4i32
3277
414k
    820090731U, // CMEQv4i32rz
3278
414k
    822187883U, // CMEQv8i16
3279
414k
    822187883U, // CMEQv8i16rz
3280
414k
    824285035U, // CMEQv8i8
3281
414k
    824285035U, // CMEQv8i8rz
3282
414k
    811699139U, // CMGEv16i8
3283
414k
    811699139U, // CMGEv16i8rz
3284
414k
    2116547U, // CMGEv1i64
3285
414k
    2116547U, // CMGEv1i64rz
3286
414k
    813796291U, // CMGEv2i32
3287
414k
    813796291U, // CMGEv2i32rz
3288
414k
    815893443U, // CMGEv2i64
3289
414k
    815893443U, // CMGEv2i64rz
3290
414k
    817990595U, // CMGEv4i16
3291
414k
    817990595U, // CMGEv4i16rz
3292
414k
    820087747U, // CMGEv4i32
3293
414k
    820087747U, // CMGEv4i32rz
3294
414k
    822184899U, // CMGEv8i16
3295
414k
    822184899U, // CMGEv8i16rz
3296
414k
    824282051U, // CMGEv8i8
3297
414k
    824282051U, // CMGEv8i8rz
3298
414k
    811703038U, // CMGTv16i8
3299
414k
    811703038U, // CMGTv16i8rz
3300
414k
    2120446U, // CMGTv1i64
3301
414k
    2120446U, // CMGTv1i64rz
3302
414k
    813800190U, // CMGTv2i32
3303
414k
    813800190U, // CMGTv2i32rz
3304
414k
    815897342U, // CMGTv2i64
3305
414k
    815897342U, // CMGTv2i64rz
3306
414k
    817994494U, // CMGTv4i16
3307
414k
    817994494U, // CMGTv4i16rz
3308
414k
    820091646U, // CMGTv4i32
3309
414k
    820091646U, // CMGTv4i32rz
3310
414k
    822188798U, // CMGTv8i16
3311
414k
    822188798U, // CMGTv8i16rz
3312
414k
    824285950U, // CMGTv8i8
3313
414k
    824285950U, // CMGTv8i8rz
3314
414k
    811700263U, // CMHIv16i8
3315
414k
    2117671U, // CMHIv1i64
3316
414k
    813797415U, // CMHIv2i32
3317
414k
    815894567U, // CMHIv2i64
3318
414k
    817991719U, // CMHIv4i16
3319
414k
    820088871U, // CMHIv4i32
3320
414k
    822186023U, // CMHIv8i16
3321
414k
    824283175U, // CMHIv8i8
3322
414k
    811702716U, // CMHSv16i8
3323
414k
    2120124U, // CMHSv1i64
3324
414k
    813799868U, // CMHSv2i32
3325
414k
    815897020U, // CMHSv2i64
3326
414k
    817994172U, // CMHSv4i16
3327
414k
    820091324U, // CMHSv4i32
3328
414k
    822188476U, // CMHSv8i16
3329
414k
    824285628U, // CMHSv8i8
3330
414k
    2195784494U,  // CMLA_ZZZI_H
3331
414k
    1344357166U,  // CMLA_ZZZI_S
3332
414k
    2418049838U,  // CMLA_ZZZ_B
3333
414k
    1075888942U,  // CMLA_ZZZ_D
3334
414k
    2195784494U,  // CMLA_ZZZ_H
3335
414k
    1344357166U,  // CMLA_ZZZ_S
3336
414k
    811699170U, // CMLEv16i8rz
3337
414k
    2116578U, // CMLEv1i64rz
3338
414k
    813796322U, // CMLEv2i32rz
3339
414k
    815893474U, // CMLEv2i64rz
3340
414k
    817990626U, // CMLEv4i16rz
3341
414k
    820087778U, // CMLEv4i32rz
3342
414k
    822184930U, // CMLEv8i16rz
3343
414k
    824282082U, // CMLEv8i8rz
3344
414k
    811703248U, // CMLTv16i8rz
3345
414k
    2120656U, // CMLTv1i64rz
3346
414k
    813800400U, // CMLTv2i32rz
3347
414k
    815897552U, // CMLTv2i64rz
3348
414k
    817994704U, // CMLTv4i16rz
3349
414k
    820091856U, // CMLTv4i32rz
3350
414k
    822189008U, // CMLTv8i16rz
3351
414k
    824286160U, // CMLTv8i8rz
3352
414k
    3223361402U,  // CMPEQ_PPzZI_B
3353
414k
    3223377786U,  // CMPEQ_PPzZI_D
3354
414k
    2713786234U,  // CMPEQ_PPzZI_H
3355
414k
    3223410554U,  // CMPEQ_PPzZI_S
3356
414k
    3223361402U,  // CMPEQ_PPzZZ_B
3357
414k
    3223377786U,  // CMPEQ_PPzZZ_D
3358
414k
    2713786234U,  // CMPEQ_PPzZZ_H
3359
414k
    3223410554U,  // CMPEQ_PPzZZ_S
3360
414k
    3223361402U,  // CMPEQ_WIDE_PPzZZ_B
3361
414k
    2713786234U,  // CMPEQ_WIDE_PPzZZ_H
3362
414k
    3223410554U,  // CMPEQ_WIDE_PPzZZ_S
3363
414k
    3223358409U,  // CMPGE_PPzZI_B
3364
414k
    3223374793U,  // CMPGE_PPzZI_D
3365
414k
    2713783241U,  // CMPGE_PPzZI_H
3366
414k
    3223407561U,  // CMPGE_PPzZI_S
3367
414k
    3223358409U,  // CMPGE_PPzZZ_B
3368
414k
    3223374793U,  // CMPGE_PPzZZ_D
3369
414k
    2713783241U,  // CMPGE_PPzZZ_H
3370
414k
    3223407561U,  // CMPGE_PPzZZ_S
3371
414k
    3223358409U,  // CMPGE_WIDE_PPzZZ_B
3372
414k
    2713783241U,  // CMPGE_WIDE_PPzZZ_H
3373
414k
    3223407561U,  // CMPGE_WIDE_PPzZZ_S
3374
414k
    3223362308U,  // CMPGT_PPzZI_B
3375
414k
    3223378692U,  // CMPGT_PPzZI_D
3376
414k
    2713787140U,  // CMPGT_PPzZI_H
3377
414k
    3223411460U,  // CMPGT_PPzZI_S
3378
414k
    3223362308U,  // CMPGT_PPzZZ_B
3379
414k
    3223378692U,  // CMPGT_PPzZZ_D
3380
414k
    2713787140U,  // CMPGT_PPzZZ_H
3381
414k
    3223411460U,  // CMPGT_PPzZZ_S
3382
414k
    3223362308U,  // CMPGT_WIDE_PPzZZ_B
3383
414k
    2713787140U,  // CMPGT_WIDE_PPzZZ_H
3384
414k
    3223411460U,  // CMPGT_WIDE_PPzZZ_S
3385
414k
    3223359533U,  // CMPHI_PPzZI_B
3386
414k
    3223375917U,  // CMPHI_PPzZI_D
3387
414k
    2713784365U,  // CMPHI_PPzZI_H
3388
414k
    3223408685U,  // CMPHI_PPzZI_S
3389
414k
    3223359533U,  // CMPHI_PPzZZ_B
3390
414k
    3223375917U,  // CMPHI_PPzZZ_D
3391
414k
    2713784365U,  // CMPHI_PPzZZ_H
3392
414k
    3223408685U,  // CMPHI_PPzZZ_S
3393
414k
    3223359533U,  // CMPHI_WIDE_PPzZZ_B
3394
414k
    2713784365U,  // CMPHI_WIDE_PPzZZ_H
3395
414k
    3223408685U,  // CMPHI_WIDE_PPzZZ_S
3396
414k
    3223361986U,  // CMPHS_PPzZI_B
3397
414k
    3223378370U,  // CMPHS_PPzZI_D
3398
414k
    2713786818U,  // CMPHS_PPzZI_H
3399
414k
    3223411138U,  // CMPHS_PPzZI_S
3400
414k
    3223361986U,  // CMPHS_PPzZZ_B
3401
414k
    3223378370U,  // CMPHS_PPzZZ_D
3402
414k
    2713786818U,  // CMPHS_PPzZZ_H
3403
414k
    3223411138U,  // CMPHS_PPzZZ_S
3404
414k
    3223361986U,  // CMPHS_WIDE_PPzZZ_B
3405
414k
    2713786818U,  // CMPHS_WIDE_PPzZZ_H
3406
414k
    3223411138U,  // CMPHS_WIDE_PPzZZ_S
3407
414k
    3223358440U,  // CMPLE_PPzZI_B
3408
414k
    3223374824U,  // CMPLE_PPzZI_D
3409
414k
    2713783272U,  // CMPLE_PPzZI_H
3410
414k
    3223407592U,  // CMPLE_PPzZI_S
3411
414k
    3223358440U,  // CMPLE_WIDE_PPzZZ_B
3412
414k
    2713783272U,  // CMPLE_WIDE_PPzZZ_H
3413
414k
    3223407592U,  // CMPLE_WIDE_PPzZZ_S
3414
414k
    3223360904U,  // CMPLO_PPzZI_B
3415
414k
    3223377288U,  // CMPLO_PPzZI_D
3416
414k
    2713785736U,  // CMPLO_PPzZI_H
3417
414k
    3223410056U,  // CMPLO_PPzZI_S
3418
414k
    3223360904U,  // CMPLO_WIDE_PPzZZ_B
3419
414k
    2713785736U,  // CMPLO_WIDE_PPzZZ_H
3420
414k
    3223410056U,  // CMPLO_WIDE_PPzZZ_S
3421
414k
    3223362021U,  // CMPLS_PPzZI_B
3422
414k
    3223378405U,  // CMPLS_PPzZI_D
3423
414k
    2713786853U,  // CMPLS_PPzZI_H
3424
414k
    3223411173U,  // CMPLS_PPzZI_S
3425
414k
    3223362021U,  // CMPLS_WIDE_PPzZZ_B
3426
414k
    2713786853U,  // CMPLS_WIDE_PPzZZ_H
3427
414k
    3223411173U,  // CMPLS_WIDE_PPzZZ_S
3428
414k
    3223362518U,  // CMPLT_PPzZI_B
3429
414k
    3223378902U,  // CMPLT_PPzZI_D
3430
414k
    2713787350U,  // CMPLT_PPzZI_H
3431
414k
    3223411670U,  // CMPLT_PPzZI_S
3432
414k
    3223362518U,  // CMPLT_WIDE_PPzZZ_B
3433
414k
    2713787350U,  // CMPLT_WIDE_PPzZZ_H
3434
414k
    3223411670U,  // CMPLT_WIDE_PPzZZ_S
3435
414k
    3223358463U,  // CMPNE_PPzZI_B
3436
414k
    3223374847U,  // CMPNE_PPzZI_D
3437
414k
    2713783295U,  // CMPNE_PPzZI_H
3438
414k
    3223407615U,  // CMPNE_PPzZI_S
3439
414k
    3223358463U,  // CMPNE_PPzZZ_B
3440
414k
    3223374847U,  // CMPNE_PPzZZ_D
3441
414k
    2713783295U,  // CMPNE_PPzZZ_H
3442
414k
    3223407615U,  // CMPNE_PPzZZ_S
3443
414k
    3223358463U,  // CMPNE_WIDE_PPzZZ_B
3444
414k
    2713783295U,  // CMPNE_WIDE_PPzZZ_H
3445
414k
    3223407615U,  // CMPNE_WIDE_PPzZZ_S
3446
414k
    811703583U, // CMTSTv16i8
3447
414k
    2120991U, // CMTSTv1i64
3448
414k
    813800735U, // CMTSTv2i32
3449
414k
    815897887U, // CMTSTv2i64
3450
414k
    817995039U, // CMTSTv4i16
3451
414k
    820092191U, // CMTSTv4i32
3452
414k
    822189343U, // CMTSTv8i16
3453
414k
    824286495U, // CMTSTv8i8
3454
414k
    270572758U, // CNOT_ZPmZ_B
3455
414k
    270589142U, // CNOT_ZPmZ_D
3456
414k
    541138134U, // CNOT_ZPmZ_H
3457
414k
    270621910U, // CNOT_ZPmZ_S
3458
414k
    2954905949U,  // CNTB_XPiI
3459
414k
    2954906520U,  // CNTD_XPiI
3460
414k
    2954907602U,  // CNTH_XPiI
3461
414k
    3223344860U,  // CNTP_XCI_B
3462
414k
    3491780316U,  // CNTP_XCI_D
3463
414k
    3760215772U,  // CNTP_XCI_H
3464
414k
    4028651228U,  // CNTP_XCI_S
3465
414k
    3223344860U,  // CNTP_XPP_B
3466
414k
    3223344860U,  // CNTP_XPP_D
3467
414k
    3223344860U,  // CNTP_XPP_H
3468
414k
    3223344860U,  // CNTP_XPP_S
3469
414k
    2954911729U,  // CNTW_XPiI
3470
414k
    2120736U, // CNTWr
3471
414k
    2120736U, // CNTXr
3472
414k
    270572576U, // CNT_ZPmZ_B
3473
414k
    270588960U, // CNT_ZPmZ_D
3474
414k
    541137952U, // CNT_ZPmZ_H
3475
414k
    270621728U, // CNT_ZPmZ_S
3476
414k
    811703328U, // CNTv16i8
3477
414k
    824286240U, // CNTv8i8
3478
414k
    3223378625U,  // COMPACT_ZPZ_D
3479
414k
    3223411393U,  // COMPACT_ZPZ_S
3480
414k
    434920U,  // CPYE
3481
414k
    434983U,  // CPYEN
3482
414k
    435069U,  // CPYERN
3483
414k
    435957U,  // CPYERT
3484
414k
    435442U,  // CPYERTN
3485
414k
    435191U,  // CPYERTRN
3486
414k
    435689U,  // CPYERTWN
3487
414k
    435871U,  // CPYET
3488
414k
    435346U,  // CPYETN
3489
414k
    435127U,  // CPYETRN
3490
414k
    435625U,  // CPYETWN
3491
414k
    435567U,  // CPYEWN
3492
414k
    436014U,  // CPYEWT
3493
414k
    435505U,  // CPYEWTN
3494
414k
    435260U,  // CPYEWTRN
3495
414k
    435758U,  // CPYEWTWN
3496
414k
    434897U,  // CPYFE
3497
414k
    434957U,  // CPYFEN
3498
414k
    435059U,  // CPYFERN
3499
414k
    435947U,  // CPYFERT
3500
414k
    435431U,  // CPYFERTN
3501
414k
    435179U,  // CPYFERTRN
3502
414k
    435677U,  // CPYFERTWN
3503
414k
    435845U,  // CPYFET
3504
414k
    435317U,  // CPYFETN
3505
414k
    435116U,  // CPYFETRN
3506
414k
    435614U,  // CPYFETWN
3507
414k
    435557U,  // CPYFEWN
3508
414k
    436004U,  // CPYFEWT
3509
414k
    435494U,  // CPYFEWTN
3510
414k
    435248U,  // CPYFEWTRN
3511
414k
    435746U,  // CPYFEWTWN
3512
414k
    434927U,  // CPYFM
3513
414k
    434991U,  // CPYFMN
3514
414k
    435078U,  // CPYFMRN
3515
414k
    435966U,  // CPYFMRT
3516
414k
    435452U,  // CPYFMRTN
3517
414k
    435202U,  // CPYFMRTRN
3518
414k
    435700U,  // CPYFMRTWN
3519
414k
    435879U,  // CPYFMT
3520
414k
    435355U,  // CPYFMTN
3521
414k
    435137U,  // CPYFMTRN
3522
414k
    435635U,  // CPYFMTWN
3523
414k
    435576U,  // CPYFMWN
3524
414k
    436023U,  // CPYFMWT
3525
414k
    435515U,  // CPYFMWTN
3526
414k
    435271U,  // CPYFMWTRN
3527
414k
    435769U,  // CPYFMWTWN
3528
414k
    435815U,  // CPYFP
3529
414k
    435025U,  // CPYFPN
3530
414k
    435097U,  // CPYFPRN
3531
414k
    435985U,  // CPYFPRT
3532
414k
    435473U,  // CPYFPRTN
3533
414k
    435225U,  // CPYFPRTRN
3534
414k
    435723U,  // CPYFPRTWN
3535
414k
    435913U,  // CPYFPT
3536
414k
    435393U,  // CPYFPTN
3537
414k
    435158U,  // CPYFPTRN
3538
414k
    435656U,  // CPYFPTWN
3539
414k
    435595U,  // CPYFPWN
3540
414k
    436042U,  // CPYFPWT
3541
414k
    435536U,  // CPYFPWTN
3542
414k
    435294U,  // CPYFPWTRN
3543
414k
    435792U,  // CPYFPWTWN
3544
414k
    434950U,  // CPYM
3545
414k
    435017U,  // CPYMN
3546
414k
    435088U,  // CPYMRN
3547
414k
    435976U,  // CPYMRT
3548
414k
    435463U,  // CPYMRTN
3549
414k
    435214U,  // CPYMRTRN
3550
414k
    435712U,  // CPYMRTWN
3551
414k
    435905U,  // CPYMT
3552
414k
    435384U,  // CPYMTN
3553
414k
    435148U,  // CPYMTRN
3554
414k
    435646U,  // CPYMTWN
3555
414k
    435586U,  // CPYMWN
3556
414k
    436033U,  // CPYMWT
3557
414k
    435526U,  // CPYMWTN
3558
414k
    435283U,  // CPYMWTRN
3559
414k
    435781U,  // CPYMWTWN
3560
414k
    435838U,  // CPYP
3561
414k
    435051U,  // CPYPN
3562
414k
    435107U,  // CPYPRN
3563
414k
    435995U,  // CPYPRT
3564
414k
    435484U,  // CPYPRTN
3565
414k
    435237U,  // CPYPRTRN
3566
414k
    435735U,  // CPYPRTWN
3567
414k
    435939U,  // CPYPT
3568
414k
    435422U,  // CPYPTN
3569
414k
    435169U,  // CPYPTRN
3570
414k
    435667U,  // CPYPTWN
3571
414k
    435605U,  // CPYPWN
3572
414k
    436052U,  // CPYPWT
3573
414k
    435547U,  // CPYPWTN
3574
414k
    435306U,  // CPYPWTRN
3575
414k
    435804U,  // CPYPWTWN
3576
414k
    270573718U, // CPY_ZPmI_B
3577
414k
    270590102U, // CPY_ZPmI_D
3578
414k
    4268182U, // CPY_ZPmI_H
3579
414k
    270622870U, // CPY_ZPmI_S
3580
414k
    270573718U, // CPY_ZPmR_B
3581
414k
    270590102U, // CPY_ZPmR_D
3582
414k
    272703638U, // CPY_ZPmR_H
3583
414k
    270622870U, // CPY_ZPmR_S
3584
414k
    270573718U, // CPY_ZPmV_B
3585
414k
    270590102U, // CPY_ZPmV_D
3586
414k
    272703638U, // CPY_ZPmV_H
3587
414k
    270622870U, // CPY_ZPmV_S
3588
414k
    3223363734U,  // CPY_ZPzI_B
3589
414k
    3223380118U,  // CPY_ZPzI_D
3590
414k
    2713788566U,  // CPY_ZPzI_H
3591
414k
    3223412886U,  // CPY_ZPzI_S
3592
414k
    2114816U, // CRC32Brr
3593
414k
    2115003U, // CRC32CBrr
3594
414k
    2117013U, // CRC32CHrr
3595
414k
    2121538U, // CRC32CWrr
3596
414k
    2121806U, // CRC32CXrr
3597
414k
    2116849U, // CRC32Hrr
3598
414k
    2121480U, // CRC32Wrr
3599
414k
    2121737U, // CRC32Xrr
3600
414k
    2118158U, // CSELWr
3601
414k
    2118158U, // CSELXr
3602
414k
    2116149U, // CSINCWr
3603
414k
    2116149U, // CSINCXr
3604
414k
    2121288U, // CSINVWr
3605
414k
    2121288U, // CSINVXr
3606
414k
    2116757U, // CSNEGWr
3607
414k
    2116757U, // CSNEGXr
3608
414k
    2119537U, // CTERMEQ_WW
3609
414k
    2119537U, // CTERMEQ_XX
3610
414k
    2116598U, // CTERMNE_WW
3611
414k
    2116598U, // CTERMNE_XX
3612
414k
    2121967U, // CTZWr
3613
414k
    2121967U, // CTZXr
3614
414k
    376923U,  // DCPS1
3615
414k
    377400U,  // DCPS2
3616
414k
    377474U,  // DCPS3
3617
414k
    538985926U, // DECB_XPiI
3618
414k
    538987249U, // DECD_XPiI
3619
414k
    539020017U, // DECD_ZPiI
3620
414k
    538987936U, // DECH_XPiI
3621
414k
    56692128U,  // DECH_ZPiI
3622
414k
    2119083U, // DECP_XP_B
3623
414k
    2418038187U,  // DECP_XP_D
3624
414k
    1881167275U,  // DECP_XP_H
3625
414k
    270554539U, // DECP_XP_S
3626
414k
    1075893675U,  // DECP_ZP_D
3627
414k
    1658918315U,  // DECP_ZP_H
3628
414k
    1344361899U,  // DECP_ZP_S
3629
414k
    538992461U, // DECW_XPiI
3630
414k
    539057997U, // DECW_ZPiI
3631
414k
    444319U,  // DMB
3632
414k
    10190U, // DRPS
3633
414k
    444669U,  // DSB
3634
414k
    461053U,  // DSBnXS
3635
414k
    807457915U, // DUPM_ZI
3636
414k
    2135943U, // DUPQ_ZZI_B
3637
414k
    2418071431U,  // DUPQ_ZZI_D
3638
414k
    1115756423U,  // DUPQ_ZZI_H
3639
414k
    270620551U, // DUPQ_ZZI_S
3640
414k
    1344313072U,  // DUP_ZI_B
3641
414k
    1612764912U,  // DUP_ZI_D
3642
414k
    58791664U,  // DUP_ZI_H
3643
414k
    1881233136U,  // DUP_ZI_S
3644
414k
    2135792U, // DUP_ZR_B
3645
414k
    2152176U, // DUP_ZR_D
3646
414k
    1671501552U,  // DUP_ZR_H
3647
414k
    2184944U, // DUP_ZR_S
3648
414k
    2135792U, // DUP_ZZI_B
3649
414k
    2418071280U,  // DUP_ZZI_D
3650
414k
    1115756272U,  // DUP_ZZI_H
3651
414k
    1137137392U,  // DUP_ZZI_Q
3652
414k
    270620400U, // DUP_ZZI_S
3653
414k
    807427664U, // DUPi16
3654
414k
    807427664U, // DUPi32
3655
414k
    807427664U, // DUPi64
3656
414k
    807427664U, // DUPi8
3657
414k
    6395632U, // DUPv16i8gpr
3658
414k
    811702000U, // DUPv16i8lane
3659
414k
    8492784U, // DUPv2i32gpr
3660
414k
    813799152U, // DUPv2i32lane
3661
414k
    10589936U,  // DUPv2i64gpr
3662
414k
    815896304U, // DUPv2i64lane
3663
414k
    12687088U,  // DUPv4i16gpr
3664
414k
    817993456U, // DUPv4i16lane
3665
414k
    14784240U,  // DUPv4i32gpr
3666
414k
    820090608U, // DUPv4i32lane
3667
414k
    16881392U,  // DUPv8i16gpr
3668
414k
    822187760U, // DUPv8i16lane
3669
414k
    18978544U,  // DUPv8i8gpr
3670
414k
    824284912U, // DUPv8i8lane
3671
414k
    2118872U, // EONWrs
3672
414k
    2118872U, // EONXrs
3673
414k
    811696764U, // EOR3
3674
414k
    2418066044U,  // EOR3_ZZZZ
3675
414k
    2418055866U,  // EORBT_ZZZ_B
3676
414k
    1075894970U,  // EORBT_ZZZ_D
3677
414k
    2195790522U,  // EORBT_ZZZ_H
3678
414k
    1344363194U,  // EORBT_ZZZ_S
3679
414k
    3227623074U,  // EORQV_VPZ_B
3680
414k
    3231817378U,  // EORQV_VPZ_D
3681
414k
    3238108834U,  // EORQV_VPZ_H
3682
414k
    3236011682U,  // EORQV_VPZ_S
3683
414k
    3223362129U,  // EORS_PPzPP
3684
414k
    2418051435U,  // EORTB_ZZZ_B
3685
414k
    1075890539U,  // EORTB_ZZZ_D
3686
414k
    2195786091U,  // EORTB_ZZZ_H
3687
414k
    1344358763U,  // EORTB_ZZZ_S
3688
414k
    253633U,  // EORV_VPZ_B
3689
414k
    1657020097U,  // EORV_VPZ_D
3690
414k
    1659133633U,  // EORV_VPZ_H
3691
414k
    1638178497U,  // EORV_VPZ_S
3692
414k
    2119824U, // EORWri
3693
414k
    2119824U, // EORWrs
3694
414k
    2119824U, // EORXri
3695
414k
    2119824U, // EORXrs
3696
414k
    3223361680U,  // EOR_PPzPP
3697
414k
    2418071696U,  // EOR_ZI
3698
414k
    3223361680U,  // EOR_ZPmZ_B
3699
414k
    3223378064U,  // EOR_ZPmZ_D
3700
414k
    3519092880U,  // EOR_ZPmZ_H
3701
414k
    3223410832U,  // EOR_ZPmZ_S
3702
414k
    2418071696U,  // EOR_ZZZ
3703
414k
    811702416U, // EORv16i8
3704
414k
    824285328U, // EORv8i8
3705
414k
    10195U, // ERET
3706
414k
    10077U, // ERETAA
3707
414k
    10084U, // ERETAB
3708
414k
    2135949U, // EXTQ_ZZI
3709
414k
    270566547U, // EXTRACT_ZPMXI_H_B
3710
414k
    270582931U, // EXTRACT_ZPMXI_H_D
3711
414k
    2151744659U,  // EXTRACT_ZPMXI_H_H
3712
414k
    2152154259U,  // EXTRACT_ZPMXI_H_Q
3713
414k
    270615699U, // EXTRACT_ZPMXI_H_S
3714
414k
    270566547U, // EXTRACT_ZPMXI_V_B
3715
414k
    270582931U, // EXTRACT_ZPMXI_V_D
3716
414k
    2420180115U,  // EXTRACT_ZPMXI_V_H
3717
414k
    2420589715U,  // EXTRACT_ZPMXI_V_Q
3718
414k
    270615699U, // EXTRACT_ZPMXI_V_S
3719
414k
    2119913U, // EXTRWrri
3720
414k
    2119913U, // EXTRXrri
3721
414k
    2137476U, // EXT_ZZI
3722
414k
    2686492036U,  // EXT_ZZI_B
3723
414k
    811703684U, // EXTv16i8
3724
414k
    824286596U, // EXTv8i8
3725
414k
    822182277U, // F1CVTL2v8f16
3726
414k
    1661017089U,  // F1CVTLT_ZZ_BtoH
3727
414k
    1661129671U,  // F1CVTL_2ZZ_BtoH_NAME
3728
414k
    822186951U, // F1CVTLv8f16
3729
414k
    1661132089U,  // F1CVT_2ZZ_BtoH_NAME
3730
414k
    1661017401U,  // F1CVT_ZZ_BtoH
3731
414k
    822182287U, // F2CVTL2v8f16
3732
414k
    1661017099U,  // F2CVTLT_ZZ_BtoH
3733
414k
    1661129680U,  // F2CVTL_2ZZ_BtoH_NAME
3734
414k
    822186960U, // F2CVTLv8f16
3735
414k
    1661132097U,  // F2CVT_2ZZ_BtoH_NAME
3736
414k
    1661017409U,  // F2CVT_ZZ_BtoH
3737
414k
    2116310U, // FABD16
3738
414k
    2116310U, // FABD32
3739
414k
    2116310U, // FABD64
3740
414k
    3223374550U,  // FABD_ZPmZ_D
3741
414k
    3519089366U,  // FABD_ZPmZ_H
3742
414k
    3223407318U,  // FABD_ZPmZ_S
3743
414k
    813796054U, // FABDv2f32
3744
414k
    815893206U, // FABDv2f64
3745
414k
    817990358U, // FABDv4f16
3746
414k
    820087510U, // FABDv4f32
3747
414k
    822184662U, // FABDv8f16
3748
414k
    2120042U, // FABSDr
3749
414k
    2120042U, // FABSHr
3750
414k
    2120042U, // FABSSr
3751
414k
    270588266U, // FABS_ZPmZ_D
3752
414k
    541137258U, // FABS_ZPmZ_H
3753
414k
    270621034U, // FABS_ZPmZ_S
3754
414k
    813799786U, // FABSv2f32
3755
414k
    815896938U, // FABSv2f64
3756
414k
    817994090U, // FABSv4f16
3757
414k
    820091242U, // FABSv4f32
3758
414k
    822188394U, // FABSv8f16
3759
414k
    2116530U, // FACGE16
3760
414k
    2116530U, // FACGE32
3761
414k
    2116530U, // FACGE64
3762
414k
    3223374770U,  // FACGE_PPzZZ_D
3763
414k
    2713783218U,  // FACGE_PPzZZ_H
3764
414k
    3223407538U,  // FACGE_PPzZZ_S
3765
414k
    813796274U, // FACGEv2f32
3766
414k
    815893426U, // FACGEv2f64
3767
414k
    817990578U, // FACGEv4f16
3768
414k
    820087730U, // FACGEv4f32
3769
414k
    822184882U, // FACGEv8f16
3770
414k
    2120429U, // FACGT16
3771
414k
    2120429U, // FACGT32
3772
414k
    2120429U, // FACGT64
3773
414k
    3223378669U,  // FACGT_PPzZZ_D
3774
414k
    2713787117U,  // FACGT_PPzZZ_H
3775
414k
    3223411437U,  // FACGT_PPzZZ_S
3776
414k
    813800173U, // FACGTv2f32
3777
414k
    815897325U, // FACGTv2f64
3778
414k
    817994477U, // FACGTv4f16
3779
414k
    820091629U, // FACGTv4f32
3780
414k
    822188781U, // FACGTv8f16
3781
414k
    65274621U,  // FADDA_VPZ_D
3782
414k
    2214871805U,  // FADDA_VPZ_H
3783
414k
    69501693U,  // FADDA_VPZ_S
3784
414k
    2116390U, // FADDDrr
3785
414k
    2116390U, // FADDHrr
3786
414k
    3223377353U,  // FADDP_ZPmZZ_D
3787
414k
    3519092169U,  // FADDP_ZPmZZ_H
3788
414k
    3223410121U,  // FADDP_ZPmZZ_S
3789
414k
    813798857U, // FADDPv2f32
3790
414k
    815896009U, // FADDPv2f64
3791
414k
    807425481U, // FADDPv2i16p
3792
414k
    807425481U, // FADDPv2i32p
3793
414k
    807425481U, // FADDPv2i64p
3794
414k
    817993161U, // FADDPv4f16
3795
414k
    820090313U, // FADDPv4f32
3796
414k
    822187465U, // FADDPv8f16
3797
414k
    3231817319U,  // FADDQV_D
3798
414k
    3238108775U,  // FADDQV_H
3799
414k
    3236011623U,  // FADDQV_S
3800
414k
    2116390U, // FADDSrr
3801
414k
    1657019871U,  // FADDV_VPZ_D
3802
414k
    1659133407U,  // FADDV_VPZ_H
3803
414k
    1638178271U,  // FADDV_VPZ_S
3804
414k
    3798158118U,  // FADD_VG2_M2Z_D
3805
414k
    3798305574U,  // FADD_VG2_M2Z_H
3806
414k
    3798174502U,  // FADD_VG2_M2Z_S
3807
414k
    4066593574U,  // FADD_VG4_M4Z_D
3808
414k
    4066741030U,  // FADD_VG4_M4Z_H
3809
414k
    4066609958U,  // FADD_VG4_M4Z_S
3810
414k
    3223374630U,  // FADD_ZPmI_D
3811
414k
    3519089446U,  // FADD_ZPmI_H
3812
414k
    3223407398U,  // FADD_ZPmI_S
3813
414k
    3223374630U,  // FADD_ZPmZ_D
3814
414k
    3519089446U,  // FADD_ZPmZ_H
3815
414k
    3223407398U,  // FADD_ZPmZ_S
3816
414k
    2418068262U,  // FADD_ZZZ_D
3817
414k
    2189495078U,  // FADD_ZZZ_H
3818
414k
    270617382U, // FADD_ZZZ_S
3819
414k
    813796134U, // FADDv2f32
3820
414k
    815893286U, // FADDv2f64
3821
414k
    817990438U, // FADDv4f16
3822
414k
    820087590U, // FADDv4f32
3823
414k
    822184742U, // FADDv8f16
3824
414k
    2181210155U,  // FAMAX_2Z2Z_D
3825
414k
    2183323691U,  // FAMAX_2Z2Z_H
3826
414k
    2185437227U,  // FAMAX_2Z2Z_S
3827
414k
    2181210155U,  // FAMAX_4Z4Z_D
3828
414k
    2183323691U,  // FAMAX_4Z4Z_H
3829
414k
    2185437227U,  // FAMAX_4Z4Z_S
3830
414k
    3223380011U,  // FAMAX_ZPmZ_D
3831
414k
    3519094827U,  // FAMAX_ZPmZ_H
3832
414k
    3223412779U,  // FAMAX_ZPmZ_S
3833
414k
    813801515U, // FAMAXv2f32
3834
414k
    815898667U, // FAMAXv2f64
3835
414k
    817995819U, // FAMAXv4f16
3836
414k
    820092971U, // FAMAXv4f32
3837
414k
    822190123U, // FAMAXv8f16
3838
414k
    2181207214U,  // FAMIN_2Z2Z_D
3839
414k
    2183320750U,  // FAMIN_2Z2Z_H
3840
414k
    2185434286U,  // FAMIN_2Z2Z_S
3841
414k
    2181207214U,  // FAMIN_4Z4Z_D
3842
414k
    2183320750U,  // FAMIN_4Z4Z_H
3843
414k
    2185434286U,  // FAMIN_4Z4Z_S
3844
414k
    3223377070U,  // FAMIN_ZPmZ_D
3845
414k
    3519091886U,  // FAMIN_ZPmZ_H
3846
414k
    3223409838U,  // FAMIN_ZPmZ_S
3847
414k
    813798574U, // FAMINv2f32
3848
414k
    815895726U, // FAMINv2f64
3849
414k
    817992878U, // FAMINv4f16
3850
414k
    820090030U, // FAMINv4f32
3851
414k
    822187182U, // FAMINv8f16
3852
414k
    3223374607U,  // FCADD_ZPmZ_D
3853
414k
    3519089423U,  // FCADD_ZPmZ_H
3854
414k
    3223407375U,  // FCADD_ZPmZ_S
3855
414k
    813796111U, // FCADDv2f32
3856
414k
    815893263U, // FCADDv2f64
3857
414k
    817990415U, // FCADDv4f16
3858
414k
    820087567U, // FCADDv4f32
3859
414k
    822184719U, // FCADDv8f16
3860
414k
    2119206U, // FCCMPDrr
3861
414k
    2116630U, // FCCMPEDrr
3862
414k
    2116630U, // FCCMPEHrr
3863
414k
    2116630U, // FCCMPESrr
3864
414k
    2119206U, // FCCMPHrr
3865
414k
    2119206U, // FCCMPSrr
3866
414k
    2193790478U,  // FCLAMP_VG2_2Z2Z_D
3867
414k
    2195904014U,  // FCLAMP_VG2_2Z2Z_H
3868
414k
    2174948878U,  // FCLAMP_VG2_2Z2Z_S
3869
414k
    2193790478U,  // FCLAMP_VG4_4Z4Z_D
3870
414k
    2195904014U,  // FCLAMP_VG4_4Z4Z_H
3871
414k
    2174948878U,  // FCLAMP_VG4_4Z4Z_S
3872
414k
    1075893774U,  // FCLAMP_ZZZ_D
3873
414k
    2195789326U,  // FCLAMP_ZZZ_H
3874
414k
    1344361998U,  // FCLAMP_ZZZ_S
3875
414k
    2119530U, // FCMEQ16
3876
414k
    2119530U, // FCMEQ32
3877
414k
    2119530U, // FCMEQ64
3878
414k
    3223377770U,  // FCMEQ_PPzZ0_D
3879
414k
    2713786218U,  // FCMEQ_PPzZ0_H
3880
414k
    3223410538U,  // FCMEQ_PPzZ0_S
3881
414k
    3223377770U,  // FCMEQ_PPzZZ_D
3882
414k
    2713786218U,  // FCMEQ_PPzZZ_H
3883
414k
    3223410538U,  // FCMEQ_PPzZZ_S
3884
414k
    2119530U, // FCMEQv1i16rz
3885
414k
    2119530U, // FCMEQv1i32rz
3886
414k
    2119530U, // FCMEQv1i64rz
3887
414k
    813799274U, // FCMEQv2f32
3888
414k
    815896426U, // FCMEQv2f64
3889
414k
    813799274U, // FCMEQv2i32rz
3890
414k
    815896426U, // FCMEQv2i64rz
3891
414k
    817993578U, // FCMEQv4f16
3892
414k
    820090730U, // FCMEQv4f32
3893
414k
    817993578U, // FCMEQv4i16rz
3894
414k
    820090730U, // FCMEQv4i32rz
3895
414k
    822187882U, // FCMEQv8f16
3896
414k
    822187882U, // FCMEQv8i16rz
3897
414k
    2116546U, // FCMGE16
3898
414k
    2116546U, // FCMGE32
3899
414k
    2116546U, // FCMGE64
3900
414k
    3223374786U,  // FCMGE_PPzZ0_D
3901
414k
    2713783234U,  // FCMGE_PPzZ0_H
3902
414k
    3223407554U,  // FCMGE_PPzZ0_S
3903
414k
    3223374786U,  // FCMGE_PPzZZ_D
3904
414k
    2713783234U,  // FCMGE_PPzZZ_H
3905
414k
    3223407554U,  // FCMGE_PPzZZ_S
3906
414k
    2116546U, // FCMGEv1i16rz
3907
414k
    2116546U, // FCMGEv1i32rz
3908
414k
    2116546U, // FCMGEv1i64rz
3909
414k
    813796290U, // FCMGEv2f32
3910
414k
    815893442U, // FCMGEv2f64
3911
414k
    813796290U, // FCMGEv2i32rz
3912
414k
    815893442U, // FCMGEv2i64rz
3913
414k
    817990594U, // FCMGEv4f16
3914
414k
    820087746U, // FCMGEv4f32
3915
414k
    817990594U, // FCMGEv4i16rz
3916
414k
    820087746U, // FCMGEv4i32rz
3917
414k
    822184898U, // FCMGEv8f16
3918
414k
    822184898U, // FCMGEv8i16rz
3919
414k
    2120445U, // FCMGT16
3920
414k
    2120445U, // FCMGT32
3921
414k
    2120445U, // FCMGT64
3922
414k
    3223378685U,  // FCMGT_PPzZ0_D
3923
414k
    2713787133U,  // FCMGT_PPzZ0_H
3924
414k
    3223411453U,  // FCMGT_PPzZ0_S
3925
414k
    3223378685U,  // FCMGT_PPzZZ_D
3926
414k
    2713787133U,  // FCMGT_PPzZZ_H
3927
414k
    3223411453U,  // FCMGT_PPzZZ_S
3928
414k
    2120445U, // FCMGTv1i16rz
3929
414k
    2120445U, // FCMGTv1i32rz
3930
414k
    2120445U, // FCMGTv1i64rz
3931
414k
    813800189U, // FCMGTv2f32
3932
414k
    815897341U, // FCMGTv2f64
3933
414k
    813800189U, // FCMGTv2i32rz
3934
414k
    815897341U, // FCMGTv2i64rz
3935
414k
    817994493U, // FCMGTv4f16
3936
414k
    820091645U, // FCMGTv4f32
3937
414k
    817994493U, // FCMGTv4i16rz
3938
414k
    820091645U, // FCMGTv4i32rz
3939
414k
    822188797U, // FCMGTv8f16
3940
414k
    822188797U, // FCMGTv8i16rz
3941
414k
    3223372589U,  // FCMLA_ZPmZZ_D
3942
414k
    3519087405U,  // FCMLA_ZPmZZ_H
3943
414k
    3223405357U,  // FCMLA_ZPmZZ_S
3944
414k
    2195784493U,  // FCMLA_ZZZI_H
3945
414k
    1344357165U,  // FCMLA_ZZZI_S
3946
414k
    2961310509U,  // FCMLAv2f32
3947
414k
    2963407661U,  // FCMLAv2f64
3948
414k
    2965504813U,  // FCMLAv4f16
3949
414k
    2965504813U,  // FCMLAv4f16_indexed
3950
414k
    2967601965U,  // FCMLAv4f32
3951
414k
    2967601965U,  // FCMLAv4f32_indexed
3952
414k
    2969699117U,  // FCMLAv8f16
3953
414k
    2969699117U,  // FCMLAv8f16_indexed
3954
414k
    3223374817U,  // FCMLE_PPzZ0_D
3955
414k
    2713783265U,  // FCMLE_PPzZ0_H
3956
414k
    3223407585U,  // FCMLE_PPzZ0_S
3957
414k
    2116577U, // FCMLEv1i16rz
3958
414k
    2116577U, // FCMLEv1i32rz
3959
414k
    2116577U, // FCMLEv1i64rz
3960
414k
    813796321U, // FCMLEv2i32rz
3961
414k
    815893473U, // FCMLEv2i64rz
3962
414k
    817990625U, // FCMLEv4i16rz
3963
414k
    820087777U, // FCMLEv4i32rz
3964
414k
    822184929U, // FCMLEv8i16rz
3965
414k
    3223378895U,  // FCMLT_PPzZ0_D
3966
414k
    2713787343U,  // FCMLT_PPzZ0_H
3967
414k
    3223411663U,  // FCMLT_PPzZ0_S
3968
414k
    2120655U, // FCMLTv1i16rz
3969
414k
    2120655U, // FCMLTv1i32rz
3970
414k
    2120655U, // FCMLTv1i64rz
3971
414k
    813800399U, // FCMLTv2i32rz
3972
414k
    815897551U, // FCMLTv2i64rz
3973
414k
    817994703U, // FCMLTv4i16rz
3974
414k
    820091855U, // FCMLTv4i32rz
3975
414k
    822189007U, // FCMLTv8i16rz
3976
414k
    3223374831U,  // FCMNE_PPzZ0_D
3977
414k
    2713783279U,  // FCMNE_PPzZ0_H
3978
414k
    3223407599U,  // FCMNE_PPzZ0_S
3979
414k
    3223374831U,  // FCMNE_PPzZZ_D
3980
414k
    2713783279U,  // FCMNE_PPzZZ_H
3981
414k
    3223407599U,  // FCMNE_PPzZZ_S
3982
414k
    71325229U,  // FCMPDri
3983
414k
    2119213U, // FCMPDrr
3984
414k
    71322654U,  // FCMPEDri
3985
414k
    2116638U, // FCMPEDrr
3986
414k
    71322654U,  // FCMPEHri
3987
414k
    2116638U, // FCMPEHrr
3988
414k
    71322654U,  // FCMPESri
3989
414k
    2116638U, // FCMPESrr
3990
414k
    71325229U,  // FCMPHri
3991
414k
    2119213U, // FCMPHrr
3992
414k
    71325229U,  // FCMPSri
3993
414k
    2119213U, // FCMPSrr
3994
414k
    3223377301U,  // FCMUO_PPzZZ_D
3995
414k
    2713785749U,  // FCMUO_PPzZZ_H
3996
414k
    3223410069U,  // FCMUO_PPzZZ_S
3997
414k
    270590101U, // FCPY_ZPmI_D
3998
414k
    2957058197U,  // FCPY_ZPmI_H
3999
414k
    270622869U, // FCPY_ZPmI_S
4000
414k
    2118157U, // FCSELDrrr
4001
414k
    2118157U, // FCSELHrrr
4002
414k
    2118157U, // FCSELSrrr
4003
414k
    2120034U, // FCVTASUWDr
4004
414k
    2120034U, // FCVTASUWHr
4005
414k
    2120034U, // FCVTASUWSr
4006
414k
    2120034U, // FCVTASUXDr
4007
414k
    2120034U, // FCVTASUXHr
4008
414k
    2120034U, // FCVTASUXSr
4009
414k
    2120034U, // FCVTASv1f16
4010
414k
    2120034U, // FCVTASv1i32
4011
414k
    2120034U, // FCVTASv1i64
4012
414k
    813799778U, // FCVTASv2f32
4013
414k
    815896930U, // FCVTASv2f64
4014
414k
    817994082U, // FCVTASv4f16
4015
414k
    820091234U, // FCVTASv4f32
4016
414k
    822188386U, // FCVTASv8f16
4017
414k
    2121110U, // FCVTAUUWDr
4018
414k
    2121110U, // FCVTAUUWHr
4019
414k
    2121110U, // FCVTAUUWSr
4020
414k
    2121110U, // FCVTAUUXDr
4021
414k
    2121110U, // FCVTAUUXHr
4022
414k
    2121110U, // FCVTAUUXSr
4023
414k
    2121110U, // FCVTAUv1f16
4024
414k
    2121110U, // FCVTAUv1i32
4025
414k
    2121110U, // FCVTAUv1i64
4026
414k
    813800854U, // FCVTAUv2f32
4027
414k
    815898006U, // FCVTAUv2f64
4028
414k
    817995158U, // FCVTAUv4f16
4029
414k
    820092310U, // FCVTAUv4f32
4030
414k
    822189462U, // FCVTAUv8f16
4031
414k
    2121033U, // FCVTDHr
4032
414k
    2121033U, // FCVTDSr
4033
414k
    2121033U, // FCVTHDr
4034
414k
    2121033U, // FCVTHSr
4035
414k
    270621716U, // FCVTLT_ZPmZ_HtoS
4036
414k
    270588948U, // FCVTLT_ZPmZ_StoD
4037
414k
    1652757464U,  // FCVTL_2ZZ_H_S
4038
414k
    815895512U, // FCVTLv2i32
4039
414k
    820089816U, // FCVTLv4i16
4040
414k
    815890840U, // FCVTLv4i32
4041
414k
    820085144U, // FCVTLv8i16
4042
414k
    2120172U, // FCVTMSUWDr
4043
414k
    2120172U, // FCVTMSUWHr
4044
414k
    2120172U, // FCVTMSUWSr
4045
414k
    2120172U, // FCVTMSUXDr
4046
414k
    2120172U, // FCVTMSUXHr
4047
414k
    2120172U, // FCVTMSUXSr
4048
414k
    2120172U, // FCVTMSv1f16
4049
414k
    2120172U, // FCVTMSv1i32
4050
414k
    2120172U, // FCVTMSv1i64
4051
414k
    813799916U, // FCVTMSv2f32
4052
414k
    815897068U, // FCVTMSv2f64
4053
414k
    817994220U, // FCVTMSv4f16
4054
414k
    820091372U, // FCVTMSv4f32
4055
414k
    822188524U, // FCVTMSv8f16
4056
414k
    2121126U, // FCVTMUUWDr
4057
414k
    2121126U, // FCVTMUUWHr
4058
414k
    2121126U, // FCVTMUUWSr
4059
414k
    2121126U, // FCVTMUUXDr
4060
414k
    2121126U, // FCVTMUUXHr
4061
414k
    2121126U, // FCVTMUUXSr
4062
414k
    2121126U, // FCVTMUv1f16
4063
414k
    2121126U, // FCVTMUv1i32
4064
414k
    2121126U, // FCVTMUv1i64
4065
414k
    813800870U, // FCVTMUv2f32
4066
414k
    815898022U, // FCVTMUv2f64
4067
414k
    817995174U, // FCVTMUv4f16
4068
414k
    820092326U, // FCVTMUv4f32
4069
414k
    822189478U, // FCVTMUv8f16
4070
414k
    3223357422U,  // FCVTNB_Z2Z_StoB
4071
414k
    2120198U, // FCVTNSUWDr
4072
414k
    2120198U, // FCVTNSUWHr
4073
414k
    2120198U, // FCVTNSUWSr
4074
414k
    2120198U, // FCVTNSUXDr
4075
414k
    2120198U, // FCVTNSUXHr
4076
414k
    2120198U, // FCVTNSUXSr
4077
414k
    2120198U, // FCVTNSv1f16
4078
414k
    2120198U, // FCVTNSv1i32
4079
414k
    2120198U, // FCVTNSv1i64
4080
414k
    813799942U, // FCVTNSv2f32
4081
414k
    815897094U, // FCVTNSv2f64
4082
414k
    817994246U, // FCVTNSv4f16
4083
414k
    820091398U, // FCVTNSv4f32
4084
414k
    822188550U, // FCVTNSv8f16
4085
414k
    3223362660U,  // FCVTNT_Z2Z_StoB
4086
414k
    270621796U, // FCVTNT_ZPmZ_DtoS
4087
414k
    1078008932U,  // FCVTNT_ZPmZ_StoH
4088
414k
    2121134U, // FCVTNUUWDr
4089
414k
    2121134U, // FCVTNUUWHr
4090
414k
    2121134U, // FCVTNUUWSr
4091
414k
    2121134U, // FCVTNUUXDr
4092
414k
    2121134U, // FCVTNUUXHr
4093
414k
    2121134U, // FCVTNUUXSr
4094
414k
    2121134U, // FCVTNUv1f16
4095
414k
    2121134U, // FCVTNUv1i32
4096
414k
    2121134U, // FCVTNUv1i64
4097
414k
    813800878U, // FCVTNUv2f32
4098
414k
    815898030U, // FCVTNUv2f64
4099
414k
    817995182U, // FCVTNUv4f16
4100
414k
    820092334U, // FCVTNUv4f32
4101
414k
    822189486U, // FCVTNUv8f16
4102
414k
    811701517U, // FCVTN_F16_F8v16f8
4103
414k
    824284429U, // FCVTN_F16_F8v8f8
4104
414k
    2959213023U,  // FCVTN_F32_F82v16f8
4105
414k
    824284429U, // FCVTN_F32_F8v8f8
4106
414k
    1344312589U,  // FCVTN_Z2Z_HtoB
4107
414k
    1648432397U,  // FCVTN_Z2Z_StoH
4108
414k
    3223360781U,  // FCVTN_Z4Z_StoB_NAME
4109
414k
    813798669U, // FCVTNv2i32
4110
414k
    817992973U, // FCVTNv4i16
4111
414k
    2967601631U,  // FCVTNv4i32
4112
414k
    2969698783U,  // FCVTNv8i16
4113
414k
    2120252U, // FCVTPSUWDr
4114
414k
    2120252U, // FCVTPSUWHr
4115
414k
    2120252U, // FCVTPSUWSr
4116
414k
    2120252U, // FCVTPSUXDr
4117
414k
    2120252U, // FCVTPSUXHr
4118
414k
    2120252U, // FCVTPSUXSr
4119
414k
    2120252U, // FCVTPSv1f16
4120
414k
    2120252U, // FCVTPSv1i32
4121
414k
    2120252U, // FCVTPSv1i64
4122
414k
    813799996U, // FCVTPSv2f32
4123
414k
    815897148U, // FCVTPSv2f64
4124
414k
    817994300U, // FCVTPSv4f16
4125
414k
    820091452U, // FCVTPSv4f32
4126
414k
    822188604U, // FCVTPSv8f16
4127
414k
    2121142U, // FCVTPUUWDr
4128
414k
    2121142U, // FCVTPUUWHr
4129
414k
    2121142U, // FCVTPUUWSr
4130
414k
    2121142U, // FCVTPUUXDr
4131
414k
    2121142U, // FCVTPUUXHr
4132
414k
    2121142U, // FCVTPUUXSr
4133
414k
    2121142U, // FCVTPUv1f16
4134
414k
    2121142U, // FCVTPUv1i32
4135
414k
    2121142U, // FCVTPUv1i64
4136
414k
    813800886U, // FCVTPUv2f32
4137
414k
    815898038U, // FCVTPUv2f64
4138
414k
    817995190U, // FCVTPUv4f16
4139
414k
    820092342U, // FCVTPUv4f32
4140
414k
    822189494U, // FCVTPUv8f16
4141
414k
    2121033U, // FCVTSDr
4142
414k
    2121033U, // FCVTSHr
4143
414k
    270621850U, // FCVTXNT_ZPmZ_DtoS
4144
414k
    2119004U, // FCVTXNv1i64
4145
414k
    813798748U, // FCVTXNv2f32
4146
414k
    2967601685U,  // FCVTXNv4f32
4147
414k
    270622853U, // FCVTX_ZPmZ_DtoS
4148
414k
    2120311U, // FCVTZSSWDri
4149
414k
    2120311U, // FCVTZSSWHri
4150
414k
    2120311U, // FCVTZSSWSri
4151
414k
    2120311U, // FCVTZSSXDri
4152
414k
    2120311U, // FCVTZSSXHri
4153
414k
    2120311U, // FCVTZSSXSri
4154
414k
    2120311U, // FCVTZSUWDr
4155
414k
    2120311U, // FCVTZSUWHr
4156
414k
    2120311U, // FCVTZSUWSr
4157
414k
    2120311U, // FCVTZSUXDr
4158
414k
    2120311U, // FCVTZSUXHr
4159
414k
    2120311U, // FCVTZSUXSr
4160
414k
    1648564855U,  // FCVTZS_2Z2Z_StoS
4161
414k
    1648564855U,  // FCVTZS_4Z4Z_StoS
4162
414k
    270588535U, // FCVTZS_ZPmZ_DtoD
4163
414k
    270621303U, // FCVTZS_ZPmZ_DtoS
4164
414k
    270588535U, // FCVTZS_ZPmZ_HtoD
4165
414k
    541137527U, // FCVTZS_ZPmZ_HtoH
4166
414k
    270621303U, // FCVTZS_ZPmZ_HtoS
4167
414k
    270588535U, // FCVTZS_ZPmZ_StoD
4168
414k
    270621303U, // FCVTZS_ZPmZ_StoS
4169
414k
    2120311U, // FCVTZSd
4170
414k
    2120311U, // FCVTZSh
4171
414k
    2120311U, // FCVTZSs
4172
414k
    2120311U, // FCVTZSv1f16
4173
414k
    2120311U, // FCVTZSv1i32
4174
414k
    2120311U, // FCVTZSv1i64
4175
414k
    813800055U, // FCVTZSv2f32
4176
414k
    815897207U, // FCVTZSv2f64
4177
414k
    813800055U, // FCVTZSv2i32_shift
4178
414k
    815897207U, // FCVTZSv2i64_shift
4179
414k
    817994359U, // FCVTZSv4f16
4180
414k
    820091511U, // FCVTZSv4f32
4181
414k
    817994359U, // FCVTZSv4i16_shift
4182
414k
    820091511U, // FCVTZSv4i32_shift
4183
414k
    822188663U, // FCVTZSv8f16
4184
414k
    822188663U, // FCVTZSv8i16_shift
4185
414k
    2121167U, // FCVTZUSWDri
4186
414k
    2121167U, // FCVTZUSWHri
4187
414k
    2121167U, // FCVTZUSWSri
4188
414k
    2121167U, // FCVTZUSXDri
4189
414k
    2121167U, // FCVTZUSXHri
4190
414k
    2121167U, // FCVTZUSXSri
4191
414k
    2121167U, // FCVTZUUWDr
4192
414k
    2121167U, // FCVTZUUWHr
4193
414k
    2121167U, // FCVTZUUWSr
4194
414k
    2121167U, // FCVTZUUXDr
4195
414k
    2121167U, // FCVTZUUXHr
4196
414k
    2121167U, // FCVTZUUXSr
4197
414k
    1648565711U,  // FCVTZU_2Z2Z_StoS
4198
414k
    1648565711U,  // FCVTZU_4Z4Z_StoS
4199
414k
    270589391U, // FCVTZU_ZPmZ_DtoD
4200
414k
    270622159U, // FCVTZU_ZPmZ_DtoS
4201
414k
    270589391U, // FCVTZU_ZPmZ_HtoD
4202
414k
    541138383U, // FCVTZU_ZPmZ_HtoH
4203
414k
    270622159U, // FCVTZU_ZPmZ_HtoS
4204
414k
    270589391U, // FCVTZU_ZPmZ_StoD
4205
414k
    270622159U, // FCVTZU_ZPmZ_StoS
4206
414k
    2121167U, // FCVTZUd
4207
414k
    2121167U, // FCVTZUh
4208
414k
    2121167U, // FCVTZUs
4209
414k
    2121167U, // FCVTZUv1f16
4210
414k
    2121167U, // FCVTZUv1i32
4211
414k
    2121167U, // FCVTZUv1i64
4212
414k
    813800911U, // FCVTZUv2f32
4213
414k
    815898063U, // FCVTZUv2f64
4214
414k
    813800911U, // FCVTZUv2i32_shift
4215
414k
    815898063U, // FCVTZUv2i64_shift
4216
414k
    817995215U, // FCVTZUv4f16
4217
414k
    820092367U, // FCVTZUv4f32
4218
414k
    817995215U, // FCVTZUv4i16_shift
4219
414k
    820092367U, // FCVTZUv4i32_shift
4220
414k
    822189519U, // FCVTZUv8f16
4221
414k
    822189519U, // FCVTZUv8i16_shift
4222
414k
    1652759881U,  // FCVT_2ZZ_H_S
4223
414k
    1344314697U,  // FCVT_Z2Z_HtoB
4224
414k
    1648434505U,  // FCVT_Z2Z_StoH
4225
414k
    3223362889U,  // FCVT_Z4Z_StoB_NAME
4226
414k
    3493928265U,  // FCVT_ZPmZ_DtoH
4227
414k
    270622025U, // FCVT_ZPmZ_DtoS
4228
414k
    270589257U, // FCVT_ZPmZ_HtoD
4229
414k
    270622025U, // FCVT_ZPmZ_HtoS
4230
414k
    270589257U, // FCVT_ZPmZ_StoD
4231
414k
    1078009161U,  // FCVT_ZPmZ_StoH
4232
414k
    2121215U, // FDIVDrr
4233
414k
    2121215U, // FDIVHrr
4234
414k
    3223378186U,  // FDIVR_ZPmZ_D
4235
414k
    3519093002U,  // FDIVR_ZPmZ_H
4236
414k
    3223410954U,  // FDIVR_ZPmZ_S
4237
414k
    2121215U, // FDIVSrr
4238
414k
    3223379455U,  // FDIV_ZPmZ_D
4239
414k
    3519094271U,  // FDIV_ZPmZ_H
4240
414k
    3223412223U,  // FDIV_ZPmZ_S
4241
414k
    813800959U, // FDIVv2f32
4242
414k
    815898111U, // FDIVv2f64
4243
414k
    817995263U, // FDIVv4f16
4244
414k
    820092415U, // FDIVv4f32
4245
414k
    822189567U, // FDIVv8f16
4246
414k
    3798310058U,  // FDOT_VG2_M2Z2Z_BtoH
4247
414k
    3798178986U,  // FDOT_VG2_M2Z2Z_BtoS
4248
414k
    3798178986U,  // FDOT_VG2_M2Z2Z_HtoS
4249
414k
    3798310058U,  // FDOT_VG2_M2ZZI_BtoH
4250
414k
    3798178986U,  // FDOT_VG2_M2ZZI_BtoS
4251
414k
    3798178986U,  // FDOT_VG2_M2ZZI_HtoS
4252
414k
    3798310058U,  // FDOT_VG2_M2ZZ_BtoH
4253
414k
    3798178986U,  // FDOT_VG2_M2ZZ_BtoS
4254
414k
    3798178986U,  // FDOT_VG2_M2ZZ_HtoS
4255
414k
    4066745514U,  // FDOT_VG4_M4Z4Z_BtoH
4256
414k
    4066614442U,  // FDOT_VG4_M4Z4Z_BtoS
4257
414k
    4066614442U,  // FDOT_VG4_M4Z4Z_HtoS
4258
414k
    4066745514U,  // FDOT_VG4_M4ZZI_BtoH
4259
414k
    4066614442U,  // FDOT_VG4_M4ZZI_BtoS
4260
414k
    4066614442U,  // FDOT_VG4_M4ZZI_HtoS
4261
414k
    4066745514U,  // FDOT_VG4_M4ZZ_BtoH
4262
414k
    4066614442U,  // FDOT_VG4_M4ZZ_BtoS
4263
414k
    4066614442U,  // FDOT_VG4_M4ZZ_HtoS
4264
414k
    2220956842U,  // FDOT_ZZZI_BtoH
4265
414k
    2418105514U,  // FDOT_ZZZI_BtoS
4266
414k
    2686540970U,  // FDOT_ZZZI_S
4267
414k
    2220956842U,  // FDOT_ZZZ_BtoH
4268
414k
    2418105514U,  // FDOT_ZZZ_BtoS
4269
414k
    2686540970U,  // FDOT_ZZZ_S
4270
414k
    2967608490U,  // FDOTlanev16f8
4271
414k
    2965511338U,  // FDOTlanev4f16
4272
414k
    2969705642U,  // FDOTlanev8f16
4273
414k
    2961317034U,  // FDOTlanev8f8
4274
414k
    2961317034U,  // FDOTv2f32
4275
414k
    2965511338U,  // FDOTv4f16
4276
414k
    2967608490U,  // FDOTv4f32
4277
414k
    2969705642U,  // FDOTv8f16
4278
414k
    3760248559U,  // FDUP_ZI_D
4279
414k
    75568879U,  // FDUP_ZI_H
4280
414k
    3760281327U,  // FDUP_ZI_S
4281
414k
    2418066442U,  // FEXPA_ZZ_D
4282
414k
    1652622346U,  // FEXPA_ZZ_H
4283
414k
    270615562U, // FEXPA_ZZ_S
4284
414k
    2120319U, // FJCVTZS
4285
414k
    270583296U, // FLOGB_ZPmZ_D
4286
414k
    541132288U, // FLOGB_ZPmZ_H
4287
414k
    270616064U, // FLOGB_ZPmZ_S
4288
414k
    2116426U, // FMADDDrrr
4289
414k
    2116426U, // FMADDHrrr
4290
414k
    2116426U, // FMADDSrrr
4291
414k
    3223374530U,  // FMAD_ZPmZZ_D
4292
414k
    3519089346U,  // FMAD_ZPmZZ_H
4293
414k
    3223407298U,  // FMAD_ZPmZZ_S
4294
414k
    2121779U, // FMAXDrr
4295
414k
    2121779U, // FMAXHrr
4296
414k
    2118762U, // FMAXNMDrr
4297
414k
    2118762U, // FMAXNMHrr
4298
414k
    3223377468U,  // FMAXNMP_ZPmZZ_D
4299
414k
    3519092284U,  // FMAXNMP_ZPmZZ_H
4300
414k
    3223410236U,  // FMAXNMP_ZPmZZ_S
4301
414k
    813798972U, // FMAXNMPv2f32
4302
414k
    815896124U, // FMAXNMPv2f64
4303
414k
    807425596U, // FMAXNMPv2i16p
4304
414k
    807425596U, // FMAXNMPv2i32p
4305
414k
    807425596U, // FMAXNMPv2i64p
4306
414k
    817993276U, // FMAXNMPv4f16
4307
414k
    820090428U, // FMAXNMPv4f32
4308
414k
    822187580U, // FMAXNMPv8f16
4309
414k
    3231817344U,  // FMAXNMQV_D
4310
414k
    3238108800U,  // FMAXNMQV_H
4311
414k
    3236011648U,  // FMAXNMQV_S
4312
414k
    2118762U, // FMAXNMSrr
4313
414k
    1657019946U,  // FMAXNMV_VPZ_D
4314
414k
    1659133482U,  // FMAXNMV_VPZ_H
4315
414k
    1638178346U,  // FMAXNMV_VPZ_S
4316
414k
    807427626U, // FMAXNMVv4i16v
4317
414k
    807427626U, // FMAXNMVv4i32v
4318
414k
    807427626U, // FMAXNMVv8i16v
4319
414k
    2181207146U,  // FMAXNM_VG2_2Z2Z_D
4320
414k
    2183320682U,  // FMAXNM_VG2_2Z2Z_H
4321
414k
    2185434218U,  // FMAXNM_VG2_2Z2Z_S
4322
414k
    2181207146U,  // FMAXNM_VG2_2ZZ_D
4323
414k
    2183320682U,  // FMAXNM_VG2_2ZZ_H
4324
414k
    2185434218U,  // FMAXNM_VG2_2ZZ_S
4325
414k
    2181207146U,  // FMAXNM_VG4_4Z4Z_D
4326
414k
    2183320682U,  // FMAXNM_VG4_4Z4Z_H
4327
414k
    2185434218U,  // FMAXNM_VG4_4Z4Z_S
4328
414k
    2181207146U,  // FMAXNM_VG4_4ZZ_D
4329
414k
    2183320682U,  // FMAXNM_VG4_4ZZ_H
4330
414k
    2185434218U,  // FMAXNM_VG4_4ZZ_S
4331
414k
    3223377002U,  // FMAXNM_ZPmI_D
4332
414k
    3519091818U,  // FMAXNM_ZPmI_H
4333
414k
    3223409770U,  // FMAXNM_ZPmI_S
4334
414k
    3223377002U,  // FMAXNM_ZPmZ_D
4335
414k
    3519091818U,  // FMAXNM_ZPmZ_H
4336
414k
    3223409770U,  // FMAXNM_ZPmZ_S
4337
414k
    813798506U, // FMAXNMv2f32
4338
414k
    815895658U, // FMAXNMv2f64
4339
414k
    817992810U, // FMAXNMv4f16
4340
414k
    820089962U, // FMAXNMv4f32
4341
414k
    822187114U, // FMAXNMv8f16
4342
414k
    3223377677U,  // FMAXP_ZPmZZ_D
4343
414k
    3519092493U,  // FMAXP_ZPmZZ_H
4344
414k
    3223410445U,  // FMAXP_ZPmZZ_S
4345
414k
    813799181U, // FMAXPv2f32
4346
414k
    815896333U, // FMAXPv2f64
4347
414k
    807425805U, // FMAXPv2i16p
4348
414k
    807425805U, // FMAXPv2i32p
4349
414k
    807425805U, // FMAXPv2i64p
4350
414k
    817993485U, // FMAXPv4f16
4351
414k
    820090637U, // FMAXPv4f32
4352
414k
    822187789U, // FMAXPv8f16
4353
414k
    3231817385U,  // FMAXQV_D
4354
414k
    3238108841U,  // FMAXQV_H
4355
414k
    3236011689U,  // FMAXQV_S
4356
414k
    2121779U, // FMAXSrr
4357
414k
    1657020103U,  // FMAXV_VPZ_D
4358
414k
    1659133639U,  // FMAXV_VPZ_H
4359
414k
    1638178503U,  // FMAXV_VPZ_S
4360
414k
    807427783U, // FMAXVv4i16v
4361
414k
    807427783U, // FMAXVv4i32v
4362
414k
    807427783U, // FMAXVv8i16v
4363
414k
    2181210163U,  // FMAX_VG2_2Z2Z_D
4364
414k
    2183323699U,  // FMAX_VG2_2Z2Z_H
4365
414k
    2185437235U,  // FMAX_VG2_2Z2Z_S
4366
414k
    2181210163U,  // FMAX_VG2_2ZZ_D
4367
414k
    2183323699U,  // FMAX_VG2_2ZZ_H
4368
414k
    2185437235U,  // FMAX_VG2_2ZZ_S
4369
414k
    2181210163U,  // FMAX_VG4_4Z4Z_D
4370
414k
    2183323699U,  // FMAX_VG4_4Z4Z_H
4371
414k
    2185437235U,  // FMAX_VG4_4Z4Z_S
4372
414k
    2181210163U,  // FMAX_VG4_4ZZ_D
4373
414k
    2183323699U,  // FMAX_VG4_4ZZ_H
4374
414k
    2185437235U,  // FMAX_VG4_4ZZ_S
4375
414k
    3223380019U,  // FMAX_ZPmI_D
4376
414k
    3519094835U,  // FMAX_ZPmI_H
4377
414k
    3223412787U,  // FMAX_ZPmI_S
4378
414k
    3223380019U,  // FMAX_ZPmZ_D
4379
414k
    3519094835U,  // FMAX_ZPmZ_H
4380
414k
    3223412787U,  // FMAX_ZPmZ_S
4381
414k
    813801523U, // FMAXv2f32
4382
414k
    815898675U, // FMAXv2f64
4383
414k
    817995827U, // FMAXv4f16
4384
414k
    820092979U, // FMAXv4f32
4385
414k
    822190131U, // FMAXv8f16
4386
414k
    2118838U, // FMINDrr
4387
414k
    2118838U, // FMINHrr
4388
414k
    2118753U, // FMINNMDrr
4389
414k
    2118753U, // FMINNMHrr
4390
414k
    3223377459U,  // FMINNMP_ZPmZZ_D
4391
414k
    3519092275U,  // FMINNMP_ZPmZZ_H
4392
414k
    3223410227U,  // FMINNMP_ZPmZZ_S
4393
414k
    813798963U, // FMINNMPv2f32
4394
414k
    815896115U, // FMINNMPv2f64
4395
414k
    807425587U, // FMINNMPv2i16p
4396
414k
    807425587U, // FMINNMPv2i32p
4397
414k
    807425587U, // FMINNMPv2i64p
4398
414k
    817993267U, // FMINNMPv4f16
4399
414k
    820090419U, // FMINNMPv4f32
4400
414k
    822187571U, // FMINNMPv8f16
4401
414k
    3231817334U,  // FMINNMQV_D
4402
414k
    3238108790U,  // FMINNMQV_H
4403
414k
    3236011638U,  // FMINNMQV_S
4404
414k
    2118753U, // FMINNMSrr
4405
414k
    1657019937U,  // FMINNMV_VPZ_D
4406
414k
    1659133473U,  // FMINNMV_VPZ_H
4407
414k
    1638178337U,  // FMINNMV_VPZ_S
4408
414k
    807427617U, // FMINNMVv4i16v
4409
414k
    807427617U, // FMINNMVv4i32v
4410
414k
    807427617U, // FMINNMVv8i16v
4411
414k
    2181207137U,  // FMINNM_VG2_2Z2Z_D
4412
414k
    2183320673U,  // FMINNM_VG2_2Z2Z_H
4413
414k
    2185434209U,  // FMINNM_VG2_2Z2Z_S
4414
414k
    2181207137U,  // FMINNM_VG2_2ZZ_D
4415
414k
    2183320673U,  // FMINNM_VG2_2ZZ_H
4416
414k
    2185434209U,  // FMINNM_VG2_2ZZ_S
4417
414k
    2181207137U,  // FMINNM_VG4_4Z4Z_D
4418
414k
    2183320673U,  // FMINNM_VG4_4Z4Z_H
4419
414k
    2185434209U,  // FMINNM_VG4_4Z4Z_S
4420
414k
    2181207137U,  // FMINNM_VG4_4ZZ_D
4421
414k
    2183320673U,  // FMINNM_VG4_4ZZ_H
4422
414k
    2185434209U,  // FMINNM_VG4_4ZZ_S
4423
414k
    3223376993U,  // FMINNM_ZPmI_D
4424
414k
    3519091809U,  // FMINNM_ZPmI_H
4425
414k
    3223409761U,  // FMINNM_ZPmI_S
4426
414k
    3223376993U,  // FMINNM_ZPmZ_D
4427
414k
    3519091809U,  // FMINNM_ZPmZ_H
4428
414k
    3223409761U,  // FMINNM_ZPmZ_S
4429
414k
    813798497U, // FMINNMv2f32
4430
414k
    815895649U, // FMINNMv2f64
4431
414k
    817992801U, // FMINNMv4f16
4432
414k
    820089953U, // FMINNMv4f32
4433
414k
    822187105U, // FMINNMv8f16
4434
414k
    3223377483U,  // FMINP_ZPmZZ_D
4435
414k
    3519092299U,  // FMINP_ZPmZZ_H
4436
414k
    3223410251U,  // FMINP_ZPmZZ_S
4437
414k
    813798987U, // FMINPv2f32
4438
414k
    815896139U, // FMINPv2f64
4439
414k
    807425611U, // FMINPv2i16p
4440
414k
    807425611U, // FMINPv2i32p
4441
414k
    807425611U, // FMINPv2i64p
4442
414k
    817993291U, // FMINPv4f16
4443
414k
    820090443U, // FMINPv4f32
4444
414k
    822187595U, // FMINPv8f16
4445
414k
    3231817354U,  // FMINQV_D
4446
414k
    3238108810U,  // FMINQV_H
4447
414k
    3236011658U,  // FMINQV_S
4448
414k
    2118838U, // FMINSrr
4449
414k
    1657019955U,  // FMINV_VPZ_D
4450
414k
    1659133491U,  // FMINV_VPZ_H
4451
414k
    1638178355U,  // FMINV_VPZ_S
4452
414k
    807427635U, // FMINVv4i16v
4453
414k
    807427635U, // FMINVv4i32v
4454
414k
    807427635U, // FMINVv8i16v
4455
414k
    2181207222U,  // FMIN_VG2_2Z2Z_D
4456
414k
    2183320758U,  // FMIN_VG2_2Z2Z_H
4457
414k
    2185434294U,  // FMIN_VG2_2Z2Z_S
4458
414k
    2181207222U,  // FMIN_VG2_2ZZ_D
4459
414k
    2183320758U,  // FMIN_VG2_2ZZ_H
4460
414k
    2185434294U,  // FMIN_VG2_2ZZ_S
4461
414k
    2181207222U,  // FMIN_VG4_4Z4Z_D
4462
414k
    2183320758U,  // FMIN_VG4_4Z4Z_H
4463
414k
    2185434294U,  // FMIN_VG4_4Z4Z_S
4464
414k
    2181207222U,  // FMIN_VG4_4ZZ_D
4465
414k
    2183320758U,  // FMIN_VG4_4ZZ_H
4466
414k
    2185434294U,  // FMIN_VG4_4ZZ_S
4467
414k
    3223377078U,  // FMIN_ZPmI_D
4468
414k
    3519091894U,  // FMIN_ZPmI_H
4469
414k
    3223409846U,  // FMIN_ZPmI_S
4470
414k
    3223377078U,  // FMIN_ZPmZ_D
4471
414k
    3519091894U,  // FMIN_ZPmZ_H
4472
414k
    3223409846U,  // FMIN_ZPmZ_S
4473
414k
    813798582U, // FMINv2f32
4474
414k
    815895734U, // FMINv2f64
4475
414k
    817992886U, // FMINv4f16
4476
414k
    820090038U, // FMINv4f32
4477
414k
    822187190U, // FMINv8f16
4478
414k
    2961309928U,  // FMLAL2lanev4f16
4479
414k
    2967601384U,  // FMLAL2lanev8f16
4480
414k
    2961309928U,  // FMLAL2v4f16
4481
414k
    2967601384U,  // FMLAL2v8f16
4482
414k
    2220951104U,  // FMLALB_ZZZ
4483
414k
    2220951104U,  // FMLALB_ZZZI
4484
414k
    2686535232U,  // FMLALB_ZZZI_SHH
4485
414k
    2686535232U,  // FMLALB_ZZZ_SHH
4486
414k
    2969699904U,  // FMLALBlanev8f16
4487
414k
    2969699904U,  // FMLALBv8f16
4488
414k
    2418099633U,  // FMLALLBB_ZZZ
4489
414k
    2418099633U,  // FMLALLBB_ZZZI
4490
414k
    2967602609U,  // FMLALLBBlanev4f32
4491
414k
    2967602609U,  // FMLALLBBv4f32
4492
414k
    2418104997U,  // FMLALLBT_ZZZ
4493
414k
    2418104997U,  // FMLALLBT_ZZZI
4494
414k
    2967607973U,  // FMLALLBTlanev4f32
4495
414k
    2967607973U,  // FMLALLBTv4f32
4496
414k
    2418100563U,  // FMLALLTB_ZZZ
4497
414k
    2418100563U,  // FMLALLTB_ZZZI
4498
414k
    2967603539U,  // FMLALLTBlanev4f32
4499
414k
    2967603539U,  // FMLALLTBv4f32
4500
414k
    2418105638U,  // FMLALLTT_ZZZ
4501
414k
    2418105638U,  // FMLALLTT_ZZZI
4502
414k
    2967608614U,  // FMLALLTTlanev4f32
4503
414k
    2967608614U,  // FMLALLTTv4f32
4504
414k
    1688441434U,  // FMLALL_MZZI_BtoS
4505
414k
    1688441434U,  // FMLALL_MZZ_BtoS
4506
414k
    3835925082U,  // FMLALL_VG2_M2Z2Z_BtoS
4507
414k
    3835925082U,  // FMLALL_VG2_M2ZZI_BtoS
4508
414k
    4104360538U,  // FMLALL_VG2_M2ZZ_BtoS
4509
414k
    4104360538U,  // FMLALL_VG4_M4Z4Z_BtoS
4510
414k
    4104360538U,  // FMLALL_VG4_M4ZZI_BtoS
4511
414k
    77828698U,  // FMLALL_VG4_M4ZZ_BtoS
4512
414k
    2220956473U,  // FMLALT_ZZZ
4513
414k
    2220956473U,  // FMLALT_ZZZI
4514
414k
    2686540601U,  // FMLALT_ZZZI_SHH
4515
414k
    2686540601U,  // FMLALT_ZZZ_SHH
4516
414k
    2969705273U,  // FMLALTlanev8f16
4517
414k
    2969705273U,  // FMLALTv8f16
4518
414k
    1663406225U,  // FMLAL_MZZI_BtoH
4519
414k
    1663275153U,  // FMLAL_MZZI_HtoS
4520
414k
    1663275153U,  // FMLAL_MZZ_HtoS
4521
414k
    3810889873U,  // FMLAL_VG2_M2Z2Z_BtoH
4522
414k
    3810758801U,  // FMLAL_VG2_M2Z2Z_HtoS
4523
414k
    3810889873U,  // FMLAL_VG2_M2ZZI_BtoH
4524
414k
    3810758801U,  // FMLAL_VG2_M2ZZI_HtoS
4525
414k
    3810889873U,  // FMLAL_VG2_M2ZZ_BtoH
4526
414k
    3810758801U,  // FMLAL_VG2_M2ZZ_HtoS
4527
414k
    1663406225U,  // FMLAL_VG2_MZZ_BtoH
4528
414k
    4079325329U,  // FMLAL_VG4_M4Z4Z_BtoH
4529
414k
    4079194257U,  // FMLAL_VG4_M4Z4Z_HtoS
4530
414k
    4079325329U,  // FMLAL_VG4_M4ZZI_BtoH
4531
414k
    4079194257U,  // FMLAL_VG4_M4ZZI_HtoS
4532
414k
    4079325329U,  // FMLAL_VG4_M4ZZ_BtoH
4533
414k
    4079194257U,  // FMLAL_VG4_M4ZZ_HtoS
4534
414k
    2961313937U,  // FMLALlanev4f16
4535
414k
    2967605393U,  // FMLALlanev8f16
4536
414k
    2961313937U,  // FMLALv4f16
4537
414k
    2967605393U,  // FMLALv8f16
4538
414k
    3798156085U,  // FMLA_VG2_M2Z2Z_D
4539
414k
    3798172469U,  // FMLA_VG2_M2Z2Z_S
4540
414k
    3798303541U,  // FMLA_VG2_M2Z4Z_H
4541
414k
    3798156085U,  // FMLA_VG2_M2ZZI_D
4542
414k
    3798303541U,  // FMLA_VG2_M2ZZI_H
4543
414k
    3798172469U,  // FMLA_VG2_M2ZZI_S
4544
414k
    3798156085U,  // FMLA_VG2_M2ZZ_D
4545
414k
    3798303541U,  // FMLA_VG2_M2ZZ_H
4546
414k
    3798172469U,  // FMLA_VG2_M2ZZ_S
4547
414k
    4066591541U,  // FMLA_VG4_M4Z4Z_D
4548
414k
    4066738997U,  // FMLA_VG4_M4Z4Z_H
4549
414k
    4066607925U,  // FMLA_VG4_M4Z4Z_S
4550
414k
    4066591541U,  // FMLA_VG4_M4ZZI_D
4551
414k
    4066738997U,  // FMLA_VG4_M4ZZI_H
4552
414k
    4066607925U,  // FMLA_VG4_M4ZZI_S
4553
414k
    4066591541U,  // FMLA_VG4_M4ZZ_D
4554
414k
    4066738997U,  // FMLA_VG4_M4ZZ_H
4555
414k
    4066607925U,  // FMLA_VG4_M4ZZ_S
4556
414k
    3223372597U,  // FMLA_ZPmZZ_D
4557
414k
    3519087413U,  // FMLA_ZPmZZ_H
4558
414k
    3223405365U,  // FMLA_ZPmZZ_S
4559
414k
    1075888949U,  // FMLA_ZZZI_D
4560
414k
    2195784501U,  // FMLA_ZZZI_H
4561
414k
    1344357173U,  // FMLA_ZZZI_S
4562
414k
    807715637U, // FMLAv1i16_indexed
4563
414k
    807715637U, // FMLAv1i32_indexed
4564
414k
    807715637U, // FMLAv1i64_indexed
4565
414k
    2961310517U,  // FMLAv2f32
4566
414k
    2963407669U,  // FMLAv2f64
4567
414k
    2961310517U,  // FMLAv2i32_indexed
4568
414k
    2963407669U,  // FMLAv2i64_indexed
4569
414k
    2965504821U,  // FMLAv4f16
4570
414k
    2967601973U,  // FMLAv4f32
4571
414k
    2965504821U,  // FMLAv4i16_indexed
4572
414k
    2967601973U,  // FMLAv4i32_indexed
4573
414k
    2969699125U,  // FMLAv8f16
4574
414k
    2969699125U,  // FMLAv8i16_indexed
4575
414k
    2961310060U,  // FMLSL2lanev4f16
4576
414k
    2967601516U,  // FMLSL2lanev8f16
4577
414k
    2961310060U,  // FMLSL2v4f16
4578
414k
    2967601516U,  // FMLSL2v8f16
4579
414k
    2686535530U,  // FMLSLB_ZZZI_SHH
4580
414k
    2686535530U,  // FMLSLB_ZZZ_SHH
4581
414k
    2686540776U,  // FMLSLT_ZZZI_SHH
4582
414k
    2686540776U,  // FMLSLT_ZZZ_SHH
4583
414k
    1663275920U,  // FMLSL_MZZI_HtoS
4584
414k
    1663275920U,  // FMLSL_MZZ_HtoS
4585
414k
    3810759568U,  // FMLSL_VG2_M2Z2Z_HtoS
4586
414k
    3810759568U,  // FMLSL_VG2_M2ZZI_HtoS
4587
414k
    3810759568U,  // FMLSL_VG2_M2ZZ_HtoS
4588
414k
    4079195024U,  // FMLSL_VG4_M4Z4Z_HtoS
4589
414k
    4079195024U,  // FMLSL_VG4_M4ZZI_HtoS
4590
414k
    4079195024U,  // FMLSL_VG4_M4ZZ_HtoS
4591
414k
    2961314704U,  // FMLSLlanev4f16
4592
414k
    2967606160U,  // FMLSLlanev8f16
4593
414k
    2961314704U,  // FMLSLv4f16
4594
414k
    2967606160U,  // FMLSLv8f16
4595
414k
    3798161880U,  // FMLS_VG2_M2Z2Z_D
4596
414k
    3798309336U,  // FMLS_VG2_M2Z2Z_H
4597
414k
    3798178264U,  // FMLS_VG2_M2Z2Z_S
4598
414k
    3798161880U,  // FMLS_VG2_M2ZZI_D
4599
414k
    3798309336U,  // FMLS_VG2_M2ZZI_H
4600
414k
    3798178264U,  // FMLS_VG2_M2ZZI_S
4601
414k
    3798161880U,  // FMLS_VG2_M2ZZ_D
4602
414k
    3798309336U,  // FMLS_VG2_M2ZZ_H
4603
414k
    3798178264U,  // FMLS_VG2_M2ZZ_S
4604
414k
    4066744792U,  // FMLS_VG4_M4Z2Z_H
4605
414k
    4066597336U,  // FMLS_VG4_M4Z4Z_D
4606
414k
    4066613720U,  // FMLS_VG4_M4Z4Z_S
4607
414k
    4066597336U,  // FMLS_VG4_M4ZZI_D
4608
414k
    4066744792U,  // FMLS_VG4_M4ZZI_H
4609
414k
    4066613720U,  // FMLS_VG4_M4ZZI_S
4610
414k
    4066597336U,  // FMLS_VG4_M4ZZ_D
4611
414k
    4066744792U,  // FMLS_VG4_M4ZZ_H
4612
414k
    4066613720U,  // FMLS_VG4_M4ZZ_S
4613
414k
    3223378392U,  // FMLS_ZPmZZ_D
4614
414k
    3519093208U,  // FMLS_ZPmZZ_H
4615
414k
    3223411160U,  // FMLS_ZPmZZ_S
4616
414k
    1075894744U,  // FMLS_ZZZI_D
4617
414k
    2195790296U,  // FMLS_ZZZI_H
4618
414k
    1344362968U,  // FMLS_ZZZI_S
4619
414k
    807721432U, // FMLSv1i16_indexed
4620
414k
    807721432U, // FMLSv1i32_indexed
4621
414k
    807721432U, // FMLSv1i64_indexed
4622
414k
    2961316312U,  // FMLSv2f32
4623
414k
    2963413464U,  // FMLSv2f64
4624
414k
    2961316312U,  // FMLSv2i32_indexed
4625
414k
    2963413464U,  // FMLSv2i64_indexed
4626
414k
    2965510616U,  // FMLSv4f16
4627
414k
    2967607768U,  // FMLSv4f32
4628
414k
    2965510616U,  // FMLSv4i16_indexed
4629
414k
    2967607768U,  // FMLSv4i32_indexed
4630
414k
    2969704920U,  // FMLSv8f16
4631
414k
    2969704920U,  // FMLSv8i16_indexed
4632
414k
    1075888956U,  // FMMLA_ZZZ_D
4633
414k
    1344357180U,  // FMMLA_ZZZ_S
4634
414k
    54641530U,  // FMOPAL_MPPZZ
4635
414k
    79807354U,  // FMOPA_MPPZZ_BtoH
4636
414k
    79807354U,  // FMOPA_MPPZZ_BtoS
4637
414k
    2168570746U,  // FMOPA_MPPZZ_D
4638
414k
    54641530U,  // FMOPA_MPPZZ_H
4639
414k
    2170667898U,  // FMOPA_MPPZZ_S
4640
414k
    54647333U,  // FMOPSL_MPPZZ
4641
414k
    2168576549U,  // FMOPS_MPPZZ_D
4642
414k
    54647333U,  // FMOPS_MPPZZ_H
4643
414k
    2170673701U,  // FMOPS_MPPZZ_S
4644
414k
    807427663U, // FMOVDXHighr
4645
414k
    2121295U, // FMOVDXr
4646
414k
    3760217679U,  // FMOVDi
4647
414k
    2121295U, // FMOVDr
4648
414k
    2121295U, // FMOVHWr
4649
414k
    2121295U, // FMOVHXr
4650
414k
    3760217679U,  // FMOVHi
4651
414k
    2121295U, // FMOVHr
4652
414k
    2121295U, // FMOVSWr
4653
414k
    3760217679U,  // FMOVSi
4654
414k
    2121295U, // FMOVSr
4655
414k
    2121295U, // FMOVWHr
4656
414k
    2121295U, // FMOVWSr
4657
414k
    81894991U,  // FMOVXDHighr
4658
414k
    2121295U, // FMOVXDr
4659
414k
    2121295U, // FMOVXHr
4660
414k
    3766591055U,  // FMOVv2f32_ns
4661
414k
    3768688207U,  // FMOVv2f64_ns
4662
414k
    3770785359U,  // FMOVv4f16_ns
4663
414k
    3772882511U,  // FMOVv4f32_ns
4664
414k
    3774979663U,  // FMOVv8f16_ns
4665
414k
    3223374087U,  // FMSB_ZPmZZ_D
4666
414k
    3519088903U,  // FMSB_ZPmZZ_H
4667
414k
    3223406855U,  // FMSB_ZPmZZ_S
4668
414k
    2115995U, // FMSUBDrrr
4669
414k
    2115995U, // FMSUBHrrr
4670
414k
    2115995U, // FMSUBSrrr
4671
414k
    2118624U, // FMULDrr
4672
414k
    2118624U, // FMULHrr
4673
414k
    2118624U, // FMULSrr
4674
414k
    2121838U, // FMULX16
4675
414k
    2121838U, // FMULX32
4676
414k
    2121838U, // FMULX64
4677
414k
    3223380078U,  // FMULX_ZPmZ_D
4678
414k
    3519094894U,  // FMULX_ZPmZ_H
4679
414k
    3223412846U,  // FMULX_ZPmZ_S
4680
414k
    2121838U, // FMULXv1i16_indexed
4681
414k
    2121838U, // FMULXv1i32_indexed
4682
414k
    2121838U, // FMULXv1i64_indexed
4683
414k
    813801582U, // FMULXv2f32
4684
414k
    815898734U, // FMULXv2f64
4685
414k
    813801582U, // FMULXv2i32_indexed
4686
414k
    815898734U, // FMULXv2i64_indexed
4687
414k
    817995886U, // FMULXv4f16
4688
414k
    820093038U, // FMULXv4f32
4689
414k
    817995886U, // FMULXv4i16_indexed
4690
414k
    820093038U, // FMULXv4i32_indexed
4691
414k
    822190190U, // FMULXv8f16
4692
414k
    822190190U, // FMULXv8i16_indexed
4693
414k
    3223376864U,  // FMUL_ZPmI_D
4694
414k
    3519091680U,  // FMUL_ZPmI_H
4695
414k
    3223409632U,  // FMUL_ZPmI_S
4696
414k
    3223376864U,  // FMUL_ZPmZ_D
4697
414k
    3519091680U,  // FMUL_ZPmZ_H
4698
414k
    3223409632U,  // FMUL_ZPmZ_S
4699
414k
    2418070496U,  // FMUL_ZZZI_D
4700
414k
    2189497312U,  // FMUL_ZZZI_H
4701
414k
    270619616U, // FMUL_ZZZI_S
4702
414k
    2418070496U,  // FMUL_ZZZ_D
4703
414k
    2189497312U,  // FMUL_ZZZ_H
4704
414k
    270619616U, // FMUL_ZZZ_S
4705
414k
    2118624U, // FMULv1i16_indexed
4706
414k
    2118624U, // FMULv1i32_indexed
4707
414k
    2118624U, // FMULv1i64_indexed
4708
414k
    813798368U, // FMULv2f32
4709
414k
    815895520U, // FMULv2f64
4710
414k
    813798368U, // FMULv2i32_indexed
4711
414k
    815895520U, // FMULv2i64_indexed
4712
414k
    817992672U, // FMULv4f16
4713
414k
    820089824U, // FMULv4f32
4714
414k
    817992672U, // FMULv4i16_indexed
4715
414k
    820089824U, // FMULv4i32_indexed
4716
414k
    822186976U, // FMULv8f16
4717
414k
    822186976U, // FMULv8i16_indexed
4718
414k
    2116744U, // FNEGDr
4719
414k
    2116744U, // FNEGHr
4720
414k
    2116744U, // FNEGSr
4721
414k
    270584968U, // FNEG_ZPmZ_D
4722
414k
    541133960U, // FNEG_ZPmZ_H
4723
414k
    270617736U, // FNEG_ZPmZ_S
4724
414k
    813796488U, // FNEGv2f32
4725
414k
    815893640U, // FNEGv2f64
4726
414k
    817990792U, // FNEGv4f16
4727
414k
    820087944U, // FNEGv4f32
4728
414k
    822185096U, // FNEGv8f16
4729
414k
    2116433U, // FNMADDDrrr
4730
414k
    2116433U, // FNMADDHrrr
4731
414k
    2116433U, // FNMADDSrrr
4732
414k
    3223374536U,  // FNMAD_ZPmZZ_D
4733
414k
    3519089352U,  // FNMAD_ZPmZZ_H
4734
414k
    3223407304U,  // FNMAD_ZPmZZ_S
4735
414k
    3223372626U,  // FNMLA_ZPmZZ_D
4736
414k
    3519087442U,  // FNMLA_ZPmZZ_H
4737
414k
    3223405394U,  // FNMLA_ZPmZZ_S
4738
414k
    3223378398U,  // FNMLS_ZPmZZ_D
4739
414k
    3519093214U,  // FNMLS_ZPmZZ_H
4740
414k
    3223411166U,  // FNMLS_ZPmZZ_S
4741
414k
    3223374093U,  // FNMSB_ZPmZZ_D
4742
414k
    3519088909U,  // FNMSB_ZPmZZ_H
4743
414k
    3223406861U,  // FNMSB_ZPmZZ_S
4744
414k
    2116002U, // FNMSUBDrrr
4745
414k
    2116002U, // FNMSUBHrrr
4746
414k
    2116002U, // FNMSUBSrrr
4747
414k
    2118630U, // FNMULDrr
4748
414k
    2118630U, // FNMULHrr
4749
414k
    2118630U, // FNMULSrr
4750
414k
    2418068486U,  // FRECPE_ZZ_D
4751
414k
    1652624390U,  // FRECPE_ZZ_H
4752
414k
    270617606U, // FRECPE_ZZ_S
4753
414k
    2116614U, // FRECPEv1f16
4754
414k
    2116614U, // FRECPEv1i32
4755
414k
    2116614U, // FRECPEv1i64
4756
414k
    813796358U, // FRECPEv2f32
4757
414k
    815893510U, // FRECPEv2f64
4758
414k
    817990662U, // FRECPEv4f16
4759
414k
    820087814U, // FRECPEv4f32
4760
414k
    822184966U, // FRECPEv8f16
4761
414k
    2120213U, // FRECPS16
4762
414k
    2120213U, // FRECPS32
4763
414k
    2120213U, // FRECPS64
4764
414k
    2418072085U,  // FRECPS_ZZZ_D
4765
414k
    2189498901U,  // FRECPS_ZZZ_H
4766
414k
    270621205U, // FRECPS_ZZZ_S
4767
414k
    813799957U, // FRECPSv2f32
4768
414k
    815897109U, // FRECPSv2f64
4769
414k
    817994261U, // FRECPSv4f16
4770
414k
    820091413U, // FRECPSv4f32
4771
414k
    822188565U, // FRECPSv8f16
4772
414k
    270590069U, // FRECPX_ZPmZ_D
4773
414k
    541139061U, // FRECPX_ZPmZ_H
4774
414k
    270622837U, // FRECPX_ZPmZ_S
4775
414k
    2121845U, // FRECPXv1f16
4776
414k
    2121845U, // FRECPXv1i32
4777
414k
    2121845U, // FRECPXv1i64
4778
414k
    2121745U, // FRINT32XDr
4779
414k
    2121745U, // FRINT32XSr
4780
414k
    813801489U, // FRINT32Xv2f32
4781
414k
    815898641U, // FRINT32Xv2f64
4782
414k
    820092945U, // FRINT32Xv4f32
4783
414k
    2121883U, // FRINT32ZDr
4784
414k
    2121883U, // FRINT32ZSr
4785
414k
    813801627U, // FRINT32Zv2f32
4786
414k
    815898779U, // FRINT32Zv2f64
4787
414k
    820093083U, // FRINT32Zv4f32
4788
414k
    2121755U, // FRINT64XDr
4789
414k
    2121755U, // FRINT64XSr
4790
414k
    813801499U, // FRINT64Xv2f32
4791
414k
    815898651U, // FRINT64Xv2f64
4792
414k
    820092955U, // FRINT64Xv4f32
4793
414k
    2121893U, // FRINT64ZDr
4794
414k
    2121893U, // FRINT64ZSr
4795
414k
    813801637U, // FRINT64Zv2f32
4796
414k
    815898789U, // FRINT64Zv2f64
4797
414k
    820093093U, // FRINT64Zv4f32
4798
414k
    2114684U, // FRINTADr
4799
414k
    2114684U, // FRINTAHr
4800
414k
    2114684U, // FRINTASr
4801
414k
    1648559228U,  // FRINTA_2Z2Z_S
4802
414k
    1648559228U,  // FRINTA_4Z4Z_S
4803
414k
    270582908U, // FRINTA_ZPmZ_D
4804
414k
    541131900U, // FRINTA_ZPmZ_H
4805
414k
    270615676U, // FRINTA_ZPmZ_S
4806
414k
    813794428U, // FRINTAv2f32
4807
414k
    815891580U, // FRINTAv2f64
4808
414k
    817988732U, // FRINTAv4f16
4809
414k
    820085884U, // FRINTAv4f32
4810
414k
    822183036U, // FRINTAv8f16
4811
414k
    2117705U, // FRINTIDr
4812
414k
    2117705U, // FRINTIHr
4813
414k
    2117705U, // FRINTISr
4814
414k
    270585929U, // FRINTI_ZPmZ_D
4815
414k
    541134921U, // FRINTI_ZPmZ_H
4816
414k
    270618697U, // FRINTI_ZPmZ_S
4817
414k
    813797449U, // FRINTIv2f32
4818
414k
    815894601U, // FRINTIv2f64
4819
414k
    817991753U, // FRINTIv4f16
4820
414k
    820088905U, // FRINTIv4f32
4821
414k
    822186057U, // FRINTIv8f16
4822
414k
    2118785U, // FRINTMDr
4823
414k
    2118785U, // FRINTMHr
4824
414k
    2118785U, // FRINTMSr
4825
414k
    1648563329U,  // FRINTM_2Z2Z_S
4826
414k
    1648563329U,  // FRINTM_4Z4Z_S
4827
414k
    270587009U, // FRINTM_ZPmZ_D
4828
414k
    541136001U, // FRINTM_ZPmZ_H
4829
414k
    270619777U, // FRINTM_ZPmZ_S
4830
414k
    813798529U, // FRINTMv2f32
4831
414k
    815895681U, // FRINTMv2f64
4832
414k
    817992833U, // FRINTMv4f16
4833
414k
    820089985U, // FRINTMv4f32
4834
414k
    822187137U, // FRINTMv8f16
4835
414k
    2118916U, // FRINTNDr
4836
414k
    2118916U, // FRINTNHr
4837
414k
    2118916U, // FRINTNSr
4838
414k
    1648563460U,  // FRINTN_2Z2Z_S
4839
414k
    1648563460U,  // FRINTN_4Z4Z_S
4840
414k
    270587140U, // FRINTN_ZPmZ_D
4841
414k
    541136132U, // FRINTN_ZPmZ_H
4842
414k
    270619908U, // FRINTN_ZPmZ_S
4843
414k
    813798660U, // FRINTNv2f32
4844
414k
    815895812U, // FRINTNv2f64
4845
414k
    817992964U, // FRINTNv4f16
4846
414k
    820090116U, // FRINTNv4f32
4847
414k
    822187268U, // FRINTNv8f16
4848
414k
    2119394U, // FRINTPDr
4849
414k
    2119394U, // FRINTPHr
4850
414k
    2119394U, // FRINTPSr
4851
414k
    1648563938U,  // FRINTP_2Z2Z_S
4852
414k
    1648563938U,  // FRINTP_4Z4Z_S
4853
414k
    270587618U, // FRINTP_ZPmZ_D
4854
414k
    541136610U, // FRINTP_ZPmZ_H
4855
414k
    270620386U, // FRINTP_ZPmZ_S
4856
414k
    813799138U, // FRINTPv2f32
4857
414k
    815896290U, // FRINTPv2f64
4858
414k
    817993442U, // FRINTPv4f16
4859
414k
    820090594U, // FRINTPv4f32
4860
414k
    822187746U, // FRINTPv8f16
4861
414k
    2121853U, // FRINTXDr
4862
414k
    2121853U, // FRINTXHr
4863
414k
    2121853U, // FRINTXSr
4864
414k
    270590077U, // FRINTX_ZPmZ_D
4865
414k
    541139069U, // FRINTX_ZPmZ_H
4866
414k
    270622845U, // FRINTX_ZPmZ_S
4867
414k
    813801597U, // FRINTXv2f32
4868
414k
    815898749U, // FRINTXv2f64
4869
414k
    817995901U, // FRINTXv4f16
4870
414k
    820093053U, // FRINTXv4f32
4871
414k
    822190205U, // FRINTXv8f16
4872
414k
    2121972U, // FRINTZDr
4873
414k
    2121972U, // FRINTZHr
4874
414k
    2121972U, // FRINTZSr
4875
414k
    270590196U, // FRINTZ_ZPmZ_D
4876
414k
    541139188U, // FRINTZ_ZPmZ_H
4877
414k
    270622964U, // FRINTZ_ZPmZ_S
4878
414k
    813801716U, // FRINTZv2f32
4879
414k
    815898868U, // FRINTZv2f64
4880
414k
    817996020U, // FRINTZv4f16
4881
414k
    820093172U, // FRINTZv4f32
4882
414k
    822190324U, // FRINTZv8f16
4883
414k
    2418068531U,  // FRSQRTE_ZZ_D
4884
414k
    1652624435U,  // FRSQRTE_ZZ_H
4885
414k
    270617651U, // FRSQRTE_ZZ_S
4886
414k
    2116659U, // FRSQRTEv1f16
4887
414k
    2116659U, // FRSQRTEv1i32
4888
414k
    2116659U, // FRSQRTEv1i64
4889
414k
    813796403U, // FRSQRTEv2f32
4890
414k
    815893555U, // FRSQRTEv2f64
4891
414k
    817990707U, // FRSQRTEv4f16
4892
414k
    820087859U, // FRSQRTEv4f32
4893
414k
    822185011U, // FRSQRTEv8f16
4894
414k
    2120297U, // FRSQRTS16
4895
414k
    2120297U, // FRSQRTS32
4896
414k
    2120297U, // FRSQRTS64
4897
414k
    2418072169U,  // FRSQRTS_ZZZ_D
4898
414k
    2189498985U,  // FRSQRTS_ZZZ_H
4899
414k
    270621289U, // FRSQRTS_ZZZ_S
4900
414k
    813800041U, // FRSQRTSv2f32
4901
414k
    815897193U, // FRSQRTSv2f64
4902
414k
    817994345U, // FRSQRTSv4f16
4903
414k
    820091497U, // FRSQRTSv4f32
4904
414k
    822188649U, // FRSQRTSv8f16
4905
414k
    2181204944U,  // FSCALE_2Z2Z_D
4906
414k
    2183318480U,  // FSCALE_2Z2Z_H
4907
414k
    2185432016U,  // FSCALE_2Z2Z_S
4908
414k
    2181204944U,  // FSCALE_2ZZ_D
4909
414k
    2183318480U,  // FSCALE_2ZZ_H
4910
414k
    2185432016U,  // FSCALE_2ZZ_S
4911
414k
    2181204944U,  // FSCALE_4Z4Z_D
4912
414k
    2183318480U,  // FSCALE_4Z4Z_H
4913
414k
    2185432016U,  // FSCALE_4Z4Z_S
4914
414k
    2181204944U,  // FSCALE_4ZZ_D
4915
414k
    2183318480U,  // FSCALE_4ZZ_H
4916
414k
    2185432016U,  // FSCALE_4ZZ_S
4917
414k
    3223374800U,  // FSCALE_ZPmZ_D
4918
414k
    3519089616U,  // FSCALE_ZPmZ_H
4919
414k
    3223407568U,  // FSCALE_ZPmZ_S
4920
414k
    813796304U, // FSCALEv2f32
4921
414k
    815893456U, // FSCALEv2f64
4922
414k
    817990608U, // FSCALEv4f16
4923
414k
    820087760U, // FSCALEv4f32
4924
414k
    822184912U, // FSCALEv8f16
4925
414k
    2120962U, // FSQRTDr
4926
414k
    2120962U, // FSQRTHr
4927
414k
    2120962U, // FSQRTSr
4928
414k
    270589186U, // FSQRT_ZPmZ_D
4929
414k
    541138178U, // FSQRT_ZPmZ_H
4930
414k
    270621954U, // FSQRT_ZPmZ_S
4931
414k
    813800706U, // FSQRTv2f32
4932
414k
    815897858U, // FSQRTv2f64
4933
414k
    817995010U, // FSQRTv4f16
4934
414k
    820092162U, // FSQRTv4f32
4935
414k
    822189314U, // FSQRTv8f16
4936
414k
    2115975U, // FSUBDrr
4937
414k
    2115975U, // FSUBHrr
4938
414k
    3223377859U,  // FSUBR_ZPmI_D
4939
414k
    3519092675U,  // FSUBR_ZPmI_H
4940
414k
    3223410627U,  // FSUBR_ZPmI_S
4941
414k
    3223377859U,  // FSUBR_ZPmZ_D
4942
414k
    3519092675U,  // FSUBR_ZPmZ_H
4943
414k
    3223410627U,  // FSUBR_ZPmZ_S
4944
414k
    2115975U, // FSUBSrr
4945
414k
    3798157703U,  // FSUB_VG2_M2Z_D
4946
414k
    3798305159U,  // FSUB_VG2_M2Z_H
4947
414k
    3798174087U,  // FSUB_VG2_M2Z_S
4948
414k
    4066593159U,  // FSUB_VG4_M4Z_D
4949
414k
    4066740615U,  // FSUB_VG4_M4Z_H
4950
414k
    4066609543U,  // FSUB_VG4_M4Z_S
4951
414k
    3223374215U,  // FSUB_ZPmI_D
4952
414k
    3519089031U,  // FSUB_ZPmI_H
4953
414k
    3223406983U,  // FSUB_ZPmI_S
4954
414k
    3223374215U,  // FSUB_ZPmZ_D
4955
414k
    3519089031U,  // FSUB_ZPmZ_H
4956
414k
    3223406983U,  // FSUB_ZPmZ_S
4957
414k
    2418067847U,  // FSUB_ZZZ_D
4958
414k
    2189494663U,  // FSUB_ZZZ_H
4959
414k
    270616967U, // FSUB_ZZZ_S
4960
414k
    813795719U, // FSUBv2f32
4961
414k
    815892871U, // FSUBv2f64
4962
414k
    817990023U, // FSUBv4f16
4963
414k
    820087175U, // FSUBv4f32
4964
414k
    822184327U, // FSUBv8f16
4965
414k
    2418068175U,  // FTMAD_ZZI_D
4966
414k
    2189494991U,  // FTMAD_ZZI_H
4967
414k
    270617295U, // FTMAD_ZZI_S
4968
414k
    2418070515U,  // FTSMUL_ZZZ_D
4969
414k
    2189497331U,  // FTSMUL_ZZZ_H
4970
414k
    270619635U, // FTSMUL_ZZZ_S
4971
414k
    2418070042U,  // FTSSEL_ZZZ_D
4972
414k
    2189496858U,  // FTSSEL_ZZZ_H
4973
414k
    270619162U, // FTSSEL_ZZZ_S
4974
414k
    4066609507U,  // FVDOTB_VG4_M2ZZI_BtoS
4975
414k
    4066614576U,  // FVDOTT_VG4_M2ZZI_BtoS
4976
414k
    3798310079U,  // FVDOT_VG2_M2ZZI_BtoH
4977
414k
    3798179007U,  // FVDOT_VG2_M2ZZI_HtoS
4978
414k
    10214U, // GCSPOPCX
4979
414k
    21618U, // GCSPOPM
4980
414k
    10232U, // GCSPOPX
4981
414k
    21590U, // GCSPUSHM
4982
414k
    10223U, // GCSPUSHX
4983
414k
    16490U, // GCSSS1
4984
414k
    16959U, // GCSSS2
4985
414k
    2119896U, // GCSSTR
4986
414k
    2119904U, // GCSSTTR
4987
414k
    297960651U, // GLD1B_D_IMM_REAL
4988
414k
    297960651U, // GLD1B_D_REAL
4989
414k
    297960651U, // GLD1B_D_SXTW_REAL
4990
414k
    297960651U, // GLD1B_D_UXTW_REAL
4991
414k
    297993419U, // GLD1B_S_IMM_REAL
4992
414k
    297993419U, // GLD1B_S_SXTW_REAL
4993
414k
    297993419U, // GLD1B_S_UXTW_REAL
4994
414k
    297962098U, // GLD1D_IMM_REAL
4995
414k
    297962098U, // GLD1D_REAL
4996
414k
    297962098U, // GLD1D_SCALED_REAL
4997
414k
    297962098U, // GLD1D_SXTW_REAL
4998
414k
    297962098U, // GLD1D_SXTW_SCALED_REAL
4999
414k
    297962098U, // GLD1D_UXTW_REAL
5000
414k
    297962098U, // GLD1D_UXTW_SCALED_REAL
5001
414k
    297962684U, // GLD1H_D_IMM_REAL
5002
414k
    297962684U, // GLD1H_D_REAL
5003
414k
    297962684U, // GLD1H_D_SCALED_REAL
5004
414k
    297962684U, // GLD1H_D_SXTW_REAL
5005
414k
    297962684U, // GLD1H_D_SXTW_SCALED_REAL
5006
414k
    297962684U, // GLD1H_D_UXTW_REAL
5007
414k
    297962684U, // GLD1H_D_UXTW_SCALED_REAL
5008
414k
    297995452U, // GLD1H_S_IMM_REAL
5009
414k
    297995452U, // GLD1H_S_SXTW_REAL
5010
414k
    297995452U, // GLD1H_S_SXTW_SCALED_REAL
5011
414k
    297995452U, // GLD1H_S_UXTW_REAL
5012
414k
    297995452U, // GLD1H_S_UXTW_SCALED_REAL
5013
414k
    298293050U, // GLD1Q
5014
414k
    297961685U, // GLD1SB_D_IMM_REAL
5015
414k
    297961685U, // GLD1SB_D_REAL
5016
414k
    297961685U, // GLD1SB_D_SXTW_REAL
5017
414k
    297961685U, // GLD1SB_D_UXTW_REAL
5018
414k
    297994453U, // GLD1SB_S_IMM_REAL
5019
414k
    297994453U, // GLD1SB_S_SXTW_REAL
5020
414k
    297994453U, // GLD1SB_S_UXTW_REAL
5021
414k
    297963375U, // GLD1SH_D_IMM_REAL
5022
414k
    297963375U, // GLD1SH_D_REAL
5023
414k
    297963375U, // GLD1SH_D_SCALED_REAL
5024
414k
    297963375U, // GLD1SH_D_SXTW_REAL
5025
414k
    297963375U, // GLD1SH_D_SXTW_SCALED_REAL
5026
414k
    297963375U, // GLD1SH_D_UXTW_REAL
5027
414k
    297963375U, // GLD1SH_D_UXTW_SCALED_REAL
5028
414k
    297996143U, // GLD1SH_S_IMM_REAL
5029
414k
    297996143U, // GLD1SH_S_SXTW_REAL
5030
414k
    297996143U, // GLD1SH_S_SXTW_SCALED_REAL
5031
414k
    297996143U, // GLD1SH_S_UXTW_REAL
5032
414k
    297996143U, // GLD1SH_S_UXTW_SCALED_REAL
5033
414k
    297967519U, // GLD1SW_D_IMM_REAL
5034
414k
    297967519U, // GLD1SW_D_REAL
5035
414k
    297967519U, // GLD1SW_D_SCALED_REAL
5036
414k
    297967519U, // GLD1SW_D_SXTW_REAL
5037
414k
    297967519U, // GLD1SW_D_SXTW_SCALED_REAL
5038
414k
    297967519U, // GLD1SW_D_UXTW_REAL
5039
414k
    297967519U, // GLD1SW_D_UXTW_SCALED_REAL
5040
414k
    297967324U, // GLD1W_D_IMM_REAL
5041
414k
    297967324U, // GLD1W_D_REAL
5042
414k
    297967324U, // GLD1W_D_SCALED_REAL
5043
414k
    297967324U, // GLD1W_D_SXTW_REAL
5044
414k
    297967324U, // GLD1W_D_SXTW_SCALED_REAL
5045
414k
    297967324U, // GLD1W_D_UXTW_REAL
5046
414k
    297967324U, // GLD1W_D_UXTW_SCALED_REAL
5047
414k
    298000092U, // GLD1W_IMM_REAL
5048
414k
    298000092U, // GLD1W_SXTW_REAL
5049
414k
    298000092U, // GLD1W_SXTW_SCALED_REAL
5050
414k
    298000092U, // GLD1W_UXTW_REAL
5051
414k
    298000092U, // GLD1W_UXTW_SCALED_REAL
5052
414k
    297960657U, // GLDFF1B_D_IMM_REAL
5053
414k
    297960657U, // GLDFF1B_D_REAL
5054
414k
    297960657U, // GLDFF1B_D_SXTW_REAL
5055
414k
    297960657U, // GLDFF1B_D_UXTW_REAL
5056
414k
    297993425U, // GLDFF1B_S_IMM_REAL
5057
414k
    297993425U, // GLDFF1B_S_SXTW_REAL
5058
414k
    297993425U, // GLDFF1B_S_UXTW_REAL
5059
414k
    297962104U, // GLDFF1D_IMM_REAL
5060
414k
    297962104U, // GLDFF1D_REAL
5061
414k
    297962104U, // GLDFF1D_SCALED_REAL
5062
414k
    297962104U, // GLDFF1D_SXTW_REAL
5063
414k
    297962104U, // GLDFF1D_SXTW_SCALED_REAL
5064
414k
    297962104U, // GLDFF1D_UXTW_REAL
5065
414k
    297962104U, // GLDFF1D_UXTW_SCALED_REAL
5066
414k
    297962690U, // GLDFF1H_D_IMM_REAL
5067
414k
    297962690U, // GLDFF1H_D_REAL
5068
414k
    297962690U, // GLDFF1H_D_SCALED_REAL
5069
414k
    297962690U, // GLDFF1H_D_SXTW_REAL
5070
414k
    297962690U, // GLDFF1H_D_SXTW_SCALED_REAL
5071
414k
    297962690U, // GLDFF1H_D_UXTW_REAL
5072
414k
    297962690U, // GLDFF1H_D_UXTW_SCALED_REAL
5073
414k
    297995458U, // GLDFF1H_S_IMM_REAL
5074
414k
    297995458U, // GLDFF1H_S_SXTW_REAL
5075
414k
    297995458U, // GLDFF1H_S_SXTW_SCALED_REAL
5076
414k
    297995458U, // GLDFF1H_S_UXTW_REAL
5077
414k
    297995458U, // GLDFF1H_S_UXTW_SCALED_REAL
5078
414k
    297961692U, // GLDFF1SB_D_IMM_REAL
5079
414k
    297961692U, // GLDFF1SB_D_REAL
5080
414k
    297961692U, // GLDFF1SB_D_SXTW_REAL
5081
414k
    297961692U, // GLDFF1SB_D_UXTW_REAL
5082
414k
    297994460U, // GLDFF1SB_S_IMM_REAL
5083
414k
    297994460U, // GLDFF1SB_S_SXTW_REAL
5084
414k
    297994460U, // GLDFF1SB_S_UXTW_REAL
5085
414k
    297963382U, // GLDFF1SH_D_IMM_REAL
5086
414k
    297963382U, // GLDFF1SH_D_REAL
5087
414k
    297963382U, // GLDFF1SH_D_SCALED_REAL
5088
414k
    297963382U, // GLDFF1SH_D_SXTW_REAL
5089
414k
    297963382U, // GLDFF1SH_D_SXTW_SCALED_REAL
5090
414k
    297963382U, // GLDFF1SH_D_UXTW_REAL
5091
414k
    297963382U, // GLDFF1SH_D_UXTW_SCALED_REAL
5092
414k
    297996150U, // GLDFF1SH_S_IMM_REAL
5093
414k
    297996150U, // GLDFF1SH_S_SXTW_REAL
5094
414k
    297996150U, // GLDFF1SH_S_SXTW_SCALED_REAL
5095
414k
    297996150U, // GLDFF1SH_S_UXTW_REAL
5096
414k
    297996150U, // GLDFF1SH_S_UXTW_SCALED_REAL
5097
414k
    297967526U, // GLDFF1SW_D_IMM_REAL
5098
414k
    297967526U, // GLDFF1SW_D_REAL
5099
414k
    297967526U, // GLDFF1SW_D_SCALED_REAL
5100
414k
    297967526U, // GLDFF1SW_D_SXTW_REAL
5101
414k
    297967526U, // GLDFF1SW_D_SXTW_SCALED_REAL
5102
414k
    297967526U, // GLDFF1SW_D_UXTW_REAL
5103
414k
    297967526U, // GLDFF1SW_D_UXTW_SCALED_REAL
5104
414k
    297967330U, // GLDFF1W_D_IMM_REAL
5105
414k
    297967330U, // GLDFF1W_D_REAL
5106
414k
    297967330U, // GLDFF1W_D_SCALED_REAL
5107
414k
    297967330U, // GLDFF1W_D_SXTW_REAL
5108
414k
    297967330U, // GLDFF1W_D_SXTW_SCALED_REAL
5109
414k
    297967330U, // GLDFF1W_D_UXTW_REAL
5110
414k
    297967330U, // GLDFF1W_D_UXTW_SCALED_REAL
5111
414k
    298000098U, // GLDFF1W_IMM_REAL
5112
414k
    298000098U, // GLDFF1W_SXTW_REAL
5113
414k
    298000098U, // GLDFF1W_SXTW_SCALED_REAL
5114
414k
    298000098U, // GLDFF1W_UXTW_REAL
5115
414k
    298000098U, // GLDFF1W_UXTW_SCALED_REAL
5116
414k
    2117689U, // GMI
5117
414k
    515127U,  // HINT
5118
414k
    3223378972U,  // HISTCNT_ZPzZZ_D
5119
414k
    3223411740U,  // HISTCNT_ZPzZZ_S
5120
414k
    2133148U, // HISTSEG_ZZZ
5121
414k
    383896U,  // HLT
5122
414k
    379496U,  // HVC
5123
414k
    538985942U, // INCB_XPiI
5124
414k
    538987265U, // INCD_XPiI
5125
414k
    539020033U, // INCD_ZPiI
5126
414k
    538987952U, // INCH_XPiI
5127
414k
    56692144U,  // INCH_ZPiI
5128
414k
    2119099U, // INCP_XP_B
5129
414k
    2418038203U,  // INCP_XP_D
5130
414k
    1881167291U,  // INCP_XP_H
5131
414k
    270554555U, // INCP_XP_S
5132
414k
    1075893691U,  // INCP_ZP_D
5133
414k
    1658918331U,  // INCP_ZP_H
5134
414k
    1344361915U,  // INCP_ZP_S
5135
414k
    538992477U, // INCW_XPiI
5136
414k
    539058013U, // INCW_ZPiI
5137
414k
    539009111U, // INDEX_II_B
5138
414k
    2154583U, // INDEX_II_D
5139
414k
    889266263U, // INDEX_II_H
5140
414k
    2187351U, // INDEX_II_S
5141
414k
    539009111U, // INDEX_IR_B
5142
414k
    2154583U, // INDEX_IR_D
5143
414k
    889266263U, // INDEX_IR_H
5144
414k
    2187351U, // INDEX_IR_S
5145
414k
    2138199U, // INDEX_RI_B
5146
414k
    2154583U, // INDEX_RI_D
5147
414k
    2208374871U,  // INDEX_RI_H
5148
414k
    2187351U, // INDEX_RI_S
5149
414k
    2138199U, // INDEX_RR_B
5150
414k
    2154583U, // INDEX_RR_D
5151
414k
    2208374871U,  // INDEX_RR_H
5152
414k
    2187351U, // INDEX_RR_S
5153
414k
    2233992339U,  // INSERT_MXIPZ_H_B
5154
414k
    2233992339U,  // INSERT_MXIPZ_H_D
5155
414k
    2233992339U,  // INSERT_MXIPZ_H_H
5156
414k
    2233992339U,  // INSERT_MXIPZ_H_Q
5157
414k
    2233992339U,  // INSERT_MXIPZ_H_S
5158
414k
    2234008723U,  // INSERT_MXIPZ_V_B
5159
414k
    2234008723U,  // INSERT_MXIPZ_V_D
5160
414k
    2234008723U,  // INSERT_MXIPZ_V_H
5161
414k
    2234008723U,  // INSERT_MXIPZ_V_Q
5162
414k
    2234008723U,  // INSERT_MXIPZ_V_S
5163
414k
    807442636U, // INSR_ZR_B
5164
414k
    807459020U, // INSR_ZR_D
5165
414k
    1696667852U,  // INSR_ZR_H
5166
414k
    807491788U, // INSR_ZR_S
5167
414k
    1075878092U,  // INSR_ZV_B
5168
414k
    1344329932U,  // INSR_ZV_D
5169
414k
    1677793484U,  // INSR_ZV_H
5170
414k
    1612798156U,  // INSR_ZV_S
5171
414k
    356653556U, // INSvi16gpr
5172
414k
    1967266292U,  // INSvi16lane
5173
414k
    358750708U, // INSvi32gpr
5174
414k
    1969363444U,  // INSvi32lane
5175
414k
    350362100U, // INSvi64gpr
5176
414k
    1960974836U,  // INSvi64lane
5177
414k
    360847860U, // INSvi8gpr
5178
414k
    1971460596U,  // INSvi8lane
5179
414k
    2116773U, // IRG
5180
414k
    444674U,  // ISB
5181
414k
    3223340165U,  // LASTA_RPZ_B
5182
414k
    3223340165U,  // LASTA_RPZ_D
5183
414k
    3223340165U,  // LASTA_RPZ_H
5184
414k
    3223340165U,  // LASTA_RPZ_S
5185
414k
    3223340165U,  // LASTA_VPZ_B
5186
414k
    3223340165U,  // LASTA_VPZ_D
5187
414k
    3223340165U,  // LASTA_VPZ_H
5188
414k
    3223340165U,  // LASTA_VPZ_S
5189
414k
    3223341427U,  // LASTB_RPZ_B
5190
414k
    3223341427U,  // LASTB_RPZ_D
5191
414k
    3223341427U,  // LASTB_RPZ_H
5192
414k
    3223341427U,  // LASTB_RPZ_S
5193
414k
    3223341427U,  // LASTB_VPZ_B
5194
414k
    3223341427U,  // LASTB_VPZ_D
5195
414k
    3223341427U,  // LASTB_VPZ_H
5196
414k
    3223341427U,  // LASTB_VPZ_S
5197
414k
    297944267U, // LD1B
5198
414k
    362955979U, // LD1B_2Z
5199
414k
    362955979U, // LD1B_2Z_IMM
5200
414k
    2150139083U,  // LD1B_2Z_STRIDED
5201
414k
    2150139083U,  // LD1B_2Z_STRIDED_IMM
5202
414k
    362955979U, // LD1B_4Z
5203
414k
    362955979U, // LD1B_4Z_IMM
5204
414k
    362955979U, // LD1B_4Z_STRIDED
5205
414k
    362955979U, // LD1B_4Z_STRIDED_IMM
5206
414k
    297960651U, // LD1B_D
5207
414k
    297960651U, // LD1B_D_IMM
5208
414k
    297977035U, // LD1B_H
5209
414k
    297977035U, // LD1B_H_IMM
5210
414k
    297944267U, // LD1B_IMM
5211
414k
    297993419U, // LD1B_S
5212
414k
    297993419U, // LD1B_S_IMM
5213
414k
    297962098U, // LD1D
5214
414k
    362973810U, // LD1D_2Z
5215
414k
    362973810U, // LD1D_2Z_IMM
5216
414k
    362973810U, // LD1D_2Z_STRIDED
5217
414k
    362973810U, // LD1D_2Z_STRIDED_IMM
5218
414k
    362973810U, // LD1D_4Z
5219
414k
    362973810U, // LD1D_4Z_IMM
5220
414k
    362973810U, // LD1D_4Z_STRIDED
5221
414k
    362973810U, // LD1D_4Z_STRIDED_IMM
5222
414k
    297962098U, // LD1D_IMM
5223
414k
    298289778U, // LD1D_Q
5224
414k
    298289778U, // LD1D_Q_IMM
5225
414k
    573481U,  // LD1Fourv16b
5226
414k
    97058857U,  // LD1Fourv16b_POST
5227
414k
    606249U,  // LD1Fourv1d
5228
414k
    99188777U,  // LD1Fourv1d_POST
5229
414k
    639017U,  // LD1Fourv2d
5230
414k
    97124393U,  // LD1Fourv2d_POST
5231
414k
    671785U,  // LD1Fourv2s
5232
414k
    99254313U,  // LD1Fourv2s_POST
5233
414k
    704553U,  // LD1Fourv4h
5234
414k
    99287081U,  // LD1Fourv4h_POST
5235
414k
    737321U,  // LD1Fourv4s
5236
414k
    97222697U,  // LD1Fourv4s_POST
5237
414k
    770089U,  // LD1Fourv8b
5238
414k
    99352617U,  // LD1Fourv8b_POST
5239
414k
    802857U,  // LD1Fourv8h
5240
414k
    97288233U,  // LD1Fourv8h_POST
5241
414k
    297979068U, // LD1H
5242
414k
    362990780U, // LD1H_2Z
5243
414k
    362990780U, // LD1H_2Z_IMM
5244
414k
    2150419644U,  // LD1H_2Z_STRIDED
5245
414k
    2150419644U,  // LD1H_2Z_STRIDED_IMM
5246
414k
    362990780U, // LD1H_4Z
5247
414k
    362990780U, // LD1H_4Z_IMM
5248
414k
    362990780U, // LD1H_4Z_STRIDED
5249
414k
    362990780U, // LD1H_4Z_STRIDED_IMM
5250
414k
    297962684U, // LD1H_D
5251
414k
    297962684U, // LD1H_D_IMM
5252
414k
    297979068U, // LD1H_IMM
5253
414k
    297995452U, // LD1H_S
5254
414k
    297995452U, // LD1H_S_IMM
5255
414k
    573481U,  // LD1Onev16b
5256
414k
    101253161U, // LD1Onev16b_POST
5257
414k
    606249U,  // LD1Onev1d
5258
414k
    103383081U, // LD1Onev1d_POST
5259
414k
    639017U,  // LD1Onev2d
5260
414k
    101318697U, // LD1Onev2d_POST
5261
414k
    671785U,  // LD1Onev2s
5262
414k
    103448617U, // LD1Onev2s_POST
5263
414k
    704553U,  // LD1Onev4h
5264
414k
    103481385U, // LD1Onev4h_POST
5265
414k
    737321U,  // LD1Onev4s
5266
414k
    101417001U, // LD1Onev4s_POST
5267
414k
    770089U,  // LD1Onev8b
5268
414k
    103546921U, // LD1Onev8b_POST
5269
414k
    802857U,  // LD1Onev8h
5270
414k
    101482537U, // LD1Onev8h_POST
5271
414k
    297961537U, // LD1RB_D_IMM
5272
414k
    297977921U, // LD1RB_H_IMM
5273
414k
    297945153U, // LD1RB_IMM
5274
414k
    297994305U, // LD1RB_S_IMM
5275
414k
    297962373U, // LD1RD_IMM
5276
414k
    297963227U, // LD1RH_D_IMM
5277
414k
    297979611U, // LD1RH_IMM
5278
414k
    297995995U, // LD1RH_S_IMM
5279
414k
    297945124U, // LD1RO_B
5280
414k
    297945124U, // LD1RO_B_IMM
5281
414k
    297962357U, // LD1RO_D
5282
414k
    297962357U, // LD1RO_D_IMM
5283
414k
    297979589U, // LD1RO_H
5284
414k
    297979589U, // LD1RO_H_IMM
5285
414k
    298000255U, // LD1RO_W
5286
414k
    298000255U, // LD1RO_W_IMM
5287
414k
    297945145U, // LD1RQ_B
5288
414k
    297945145U, // LD1RQ_B_IMM
5289
414k
    297962365U, // LD1RQ_D
5290
414k
    297962365U, // LD1RQ_D_IMM
5291
414k
    297979603U, // LD1RQ_H
5292
414k
    297979603U, // LD1RQ_H_IMM
5293
414k
    298000263U, // LD1RQ_W
5294
414k
    298000263U, // LD1RQ_W_IMM
5295
414k
    297961748U, // LD1RSB_D_IMM
5296
414k
    297978132U, // LD1RSB_H_IMM
5297
414k
    297994516U, // LD1RSB_S_IMM
5298
414k
    297963425U, // LD1RSH_D_IMM
5299
414k
    297996193U, // LD1RSH_S_IMM
5300
414k
    297967560U, // LD1RSW_IMM
5301
414k
    297967503U, // LD1RW_D_IMM
5302
414k
    298000271U, // LD1RW_IMM
5303
414k
    579481U,  // LD1Rv16b
5304
414k
    105453465U, // LD1Rv16b_POST
5305
414k
    612249U,  // LD1Rv1d
5306
414k
    103389081U, // LD1Rv1d_POST
5307
414k
    645017U,  // LD1Rv2d
5308
414k
    103421849U, // LD1Rv2d_POST
5309
414k
    677785U,  // LD1Rv2s
5310
414k
    107648921U, // LD1Rv2s_POST
5311
414k
    710553U,  // LD1Rv4h
5312
414k
    109778841U, // LD1Rv4h_POST
5313
414k
    743321U,  // LD1Rv4s
5314
414k
    107714457U, // LD1Rv4s_POST
5315
414k
    776089U,  // LD1Rv8b
5316
414k
    105650073U, // LD1Rv8b_POST
5317
414k
    808857U,  // LD1Rv8h
5318
414k
    109877145U, // LD1Rv8h_POST
5319
414k
    297961685U, // LD1SB_D
5320
414k
    297961685U, // LD1SB_D_IMM
5321
414k
    297978069U, // LD1SB_H
5322
414k
    297978069U, // LD1SB_H_IMM
5323
414k
    297994453U, // LD1SB_S
5324
414k
    297994453U, // LD1SB_S_IMM
5325
414k
    297963375U, // LD1SH_D
5326
414k
    297963375U, // LD1SH_D_IMM
5327
414k
    297996143U, // LD1SH_S
5328
414k
    297996143U, // LD1SH_S_IMM
5329
414k
    297967519U, // LD1SW_D
5330
414k
    297967519U, // LD1SW_D_IMM
5331
414k
    573481U,  // LD1Threev16b
5332
414k
    111738921U, // LD1Threev16b_POST
5333
414k
    606249U,  // LD1Threev1d
5334
414k
    113868841U, // LD1Threev1d_POST
5335
414k
    639017U,  // LD1Threev2d
5336
414k
    111804457U, // LD1Threev2d_POST
5337
414k
    671785U,  // LD1Threev2s
5338
414k
    113934377U, // LD1Threev2s_POST
5339
414k
    704553U,  // LD1Threev4h
5340
414k
    113967145U, // LD1Threev4h_POST
5341
414k
    737321U,  // LD1Threev4s
5342
414k
    111902761U, // LD1Threev4s_POST
5343
414k
    770089U,  // LD1Threev8b
5344
414k
    114032681U, // LD1Threev8b_POST
5345
414k
    802857U,  // LD1Threev8h
5346
414k
    111968297U, // LD1Threev8h_POST
5347
414k
    573481U,  // LD1Twov16b
5348
414k
    99156009U,  // LD1Twov16b_POST
5349
414k
    606249U,  // LD1Twov1d
5350
414k
    101285929U, // LD1Twov1d_POST
5351
414k
    639017U,  // LD1Twov2d
5352
414k
    99221545U,  // LD1Twov2d_POST
5353
414k
    671785U,  // LD1Twov2s
5354
414k
    101351465U, // LD1Twov2s_POST
5355
414k
    704553U,  // LD1Twov4h
5356
414k
    101384233U, // LD1Twov4h_POST
5357
414k
    737321U,  // LD1Twov4s
5358
414k
    99319849U,  // LD1Twov4s_POST
5359
414k
    770089U,  // LD1Twov8b
5360
414k
    101449769U, // LD1Twov8b_POST
5361
414k
    802857U,  // LD1Twov8h
5362
414k
    99385385U,  // LD1Twov8h_POST
5363
414k
    298000092U, // LD1W
5364
414k
    363011804U, // LD1W_2Z
5365
414k
    363011804U, // LD1W_2Z_IMM
5366
414k
    363011804U, // LD1W_2Z_STRIDED
5367
414k
    363011804U, // LD1W_2Z_STRIDED_IMM
5368
414k
    363011804U, // LD1W_4Z
5369
414k
    363011804U, // LD1W_4Z_IMM
5370
414k
    363011804U, // LD1W_4Z_STRIDED
5371
414k
    363011804U, // LD1W_4Z_STRIDED_IMM
5372
414k
    297967324U, // LD1W_D
5373
414k
    297967324U, // LD1W_D_IMM
5374
414k
    298000092U, // LD1W_IMM
5375
414k
    298295004U, // LD1W_Q
5376
414k
    298295004U, // LD1W_Q_IMM
5377
414k
    2208835584U,  // LD1_MXIPXX_H_B
5378
414k
    2208835598U,  // LD1_MXIPXX_H_D
5379
414k
    2208835612U,  // LD1_MXIPXX_H_H
5380
414k
    2208835626U,  // LD1_MXIPXX_H_Q
5381
414k
    2208835640U,  // LD1_MXIPXX_H_S
5382
414k
    2208851968U,  // LD1_MXIPXX_V_B
5383
414k
    2208851982U,  // LD1_MXIPXX_V_D
5384
414k
    2208851996U,  // LD1_MXIPXX_V_H
5385
414k
    2208852010U,  // LD1_MXIPXX_V_Q
5386
414k
    2208852024U,  // LD1_MXIPXX_V_S
5387
414k
    116195369U, // LD1i16
5388
414k
    118308905U, // LD1i16_POST
5389
414k
    116228137U, // LD1i32
5390
414k
    120438825U, // LD1i32_POST
5391
414k
    116260905U, // LD1i64
5392
414k
    122568745U, // LD1i64_POST
5393
414k
    116293673U, // LD1i8
5394
414k
    124698665U, // LD1i8_POST
5395
414k
    297944328U, // LD2B
5396
414k
    297944328U, // LD2B_IMM
5397
414k
    297962142U, // LD2D
5398
414k
    297962142U, // LD2D_IMM
5399
414k
    297979129U, // LD2H
5400
414k
    297979129U, // LD2H_IMM
5401
414k
    298293062U, // LD2Q
5402
414k
    298293062U, // LD2Q_IMM
5403
414k
    579487U,  // LD2Rv16b
5404
414k
    109647775U, // LD2Rv16b_POST
5405
414k
    612255U,  // LD2Rv1d
5406
414k
    101291935U, // LD2Rv1d_POST
5407
414k
    645023U,  // LD2Rv2d
5408
414k
    101324703U, // LD2Rv2d_POST
5409
414k
    677791U,  // LD2Rv2s
5410
414k
    103454623U, // LD2Rv2s_POST
5411
414k
    710559U,  // LD2Rv4h
5412
414k
    107681695U, // LD2Rv4h_POST
5413
414k
    743327U,  // LD2Rv4s
5414
414k
    103520159U, // LD2Rv4s_POST
5415
414k
    776095U,  // LD2Rv8b
5416
414k
    109844383U, // LD2Rv8b_POST
5417
414k
    808863U,  // LD2Rv8h
5418
414k
    107779999U, // LD2Rv8h_POST
5419
414k
    573614U,  // LD2Twov16b
5420
414k
    99156142U,  // LD2Twov16b_POST
5421
414k
    639150U,  // LD2Twov2d
5422
414k
    99221678U,  // LD2Twov2d_POST
5423
414k
    671918U,  // LD2Twov2s
5424
414k
    101351598U, // LD2Twov2s_POST
5425
414k
    704686U,  // LD2Twov4h
5426
414k
    101384366U, // LD2Twov4h_POST
5427
414k
    737454U,  // LD2Twov4s
5428
414k
    99319982U,  // LD2Twov4s_POST
5429
414k
    770222U,  // LD2Twov8b
5430
414k
    101449902U, // LD2Twov8b_POST
5431
414k
    802990U,  // LD2Twov8h
5432
414k
    99385518U,  // LD2Twov8h_POST
5433
414k
    298000144U, // LD2W
5434
414k
    298000144U, // LD2W_IMM
5435
414k
    116195502U, // LD2i16
5436
414k
    120406190U, // LD2i16_POST
5437
414k
    116228270U, // LD2i32
5438
414k
    122536110U, // LD2i32_POST
5439
414k
    116261038U, // LD2i64
5440
414k
    126763182U, // LD2i64_POST
5441
414k
    116293806U, // LD2i8
5442
414k
    118407342U, // LD2i8_POST
5443
414k
    297944349U, // LD3B
5444
414k
    297944349U, // LD3B_IMM
5445
414k
    297962154U, // LD3D
5446
414k
    297962154U, // LD3D_IMM
5447
414k
    297979141U, // LD3H
5448
414k
    297979141U, // LD3H_IMM
5449
414k
    298293074U, // LD3Q
5450
414k
    298293074U, // LD3Q_IMM
5451
414k
    579493U,  // LD3Rv16b
5452
414k
    128522149U, // LD3Rv16b_POST
5453
414k
    612261U,  // LD3Rv1d
5454
414k
    113874853U, // LD3Rv1d_POST
5455
414k
    645029U,  // LD3Rv2d
5456
414k
    113907621U, // LD3Rv2d_POST
5457
414k
    677797U,  // LD3Rv2s
5458
414k
    130717605U, // LD3Rv2s_POST
5459
414k
    710565U,  // LD3Rv4h
5460
414k
    132847525U, // LD3Rv4h_POST
5461
414k
    743333U,  // LD3Rv4s
5462
414k
    130783141U, // LD3Rv4s_POST
5463
414k
    776101U,  // LD3Rv8b
5464
414k
    128718757U, // LD3Rv8b_POST
5465
414k
    808869U,  // LD3Rv8h
5466
414k
    132945829U, // LD3Rv8h_POST
5467
414k
    574071U,  // LD3Threev16b
5468
414k
    111739511U, // LD3Threev16b_POST
5469
414k
    639607U,  // LD3Threev2d
5470
414k
    111805047U, // LD3Threev2d_POST
5471
414k
    672375U,  // LD3Threev2s
5472
414k
    113934967U, // LD3Threev2s_POST
5473
414k
    705143U,  // LD3Threev4h
5474
414k
    113967735U, // LD3Threev4h_POST
5475
414k
    737911U,  // LD3Threev4s
5476
414k
    111903351U, // LD3Threev4s_POST
5477
414k
    770679U,  // LD3Threev8b
5478
414k
    114033271U, // LD3Threev8b_POST
5479
414k
    803447U,  // LD3Threev8h
5480
414k
    111968887U, // LD3Threev8h_POST
5481
414k
    298000156U, // LD3W
5482
414k
    298000156U, // LD3W_IMM
5483
414k
    116195959U, // LD3i16
5484
414k
    135086711U, // LD3i16_POST
5485
414k
    116228727U, // LD3i32
5486
414k
    137216631U, // LD3i32_POST
5487
414k
    116261495U, // LD3i64
5488
414k
    139346551U, // LD3i64_POST
5489
414k
    116294263U, // LD3i8
5490
414k
    141476471U, // LD3i8_POST
5491
414k
    297944375U, // LD4B
5492
414k
    297944375U, // LD4B_IMM
5493
414k
    297962166U, // LD4D
5494
414k
    297962166U, // LD4D_IMM
5495
414k
    574101U,  // LD4Fourv16b
5496
414k
    97059477U,  // LD4Fourv16b_POST
5497
414k
    639637U,  // LD4Fourv2d
5498
414k
    97125013U,  // LD4Fourv2d_POST
5499
414k
    672405U,  // LD4Fourv2s
5500
414k
    99254933U,  // LD4Fourv2s_POST
5501
414k
    705173U,  // LD4Fourv4h
5502
414k
    99287701U,  // LD4Fourv4h_POST
5503
414k
    737941U,  // LD4Fourv4s
5504
414k
    97223317U,  // LD4Fourv4s_POST
5505
414k
    770709U,  // LD4Fourv8b
5506
414k
    99353237U,  // LD4Fourv8b_POST
5507
414k
    803477U,  // LD4Fourv8h
5508
414k
    97288853U,  // LD4Fourv8h_POST
5509
414k
    297979153U, // LD4H
5510
414k
    297979153U, // LD4H_IMM
5511
414k
    298293086U, // LD4Q
5512
414k
    298293086U, // LD4Q_IMM
5513
414k
    579499U,  // LD4Rv16b
5514
414k
    107550635U, // LD4Rv16b_POST
5515
414k
    612267U,  // LD4Rv1d
5516
414k
    99194795U,  // LD4Rv1d_POST
5517
414k
    645035U,  // LD4Rv2d
5518
414k
    99227563U,  // LD4Rv2d_POST
5519
414k
    677803U,  // LD4Rv2s
5520
414k
    101357483U, // LD4Rv2s_POST
5521
414k
    710571U,  // LD4Rv4h
5522
414k
    103487403U, // LD4Rv4h_POST
5523
414k
    743339U,  // LD4Rv4s
5524
414k
    101423019U, // LD4Rv4s_POST
5525
414k
    776107U,  // LD4Rv8b
5526
414k
    107747243U, // LD4Rv8b_POST
5527
414k
    808875U,  // LD4Rv8h
5528
414k
    103585707U, // LD4Rv8h_POST
5529
414k
    298000168U, // LD4W
5530
414k
    298000168U, // LD4W_IMM
5531
414k
    116195989U, // LD4i16
5532
414k
    122503829U, // LD4i16_POST
5533
414k
    116228757U, // LD4i32
5534
414k
    126730901U, // LD4i32_POST
5535
414k
    116261525U, // LD4i64
5536
414k
    143540885U, // LD4i64_POST
5537
414k
    116294293U, // LD4i8
5538
414k
    120504981U, // LD4i8_POST
5539
414k
    984361U,  // LD64B
5540
414k
    2418328899U,  // LDADDAB
5541
414k
    2418330918U,  // LDADDAH
5542
414k
    2418329131U,  // LDADDALB
5543
414k
    2418331092U,  // LDADDALH
5544
414k
    2418331774U,  // LDADDALW
5545
414k
    2418331774U,  // LDADDALX
5546
414k
    2418328309U,  // LDADDAW
5547
414k
    2418328309U,  // LDADDAX
5548
414k
    2418329067U,  // LDADDB
5549
414k
    2418331078U,  // LDADDH
5550
414k
    2418329312U,  // LDADDLB
5551
414k
    2418331192U,  // LDADDLH
5552
414k
    2418332126U,  // LDADDLW
5553
414k
    2418332126U,  // LDADDLX
5554
414k
    2418330398U,  // LDADDW
5555
414k
    2418330398U,  // LDADDX
5556
414k
    116260922U, // LDAP1
5557
414k
    44058748U,  // LDAPRB
5558
414k
    44060438U,  // LDAPRH
5559
414k
    44062879U,  // LDAPRW
5560
414k
    849664159U, // LDAPRWpost
5561
414k
    44062879U,  // LDAPRX
5562
414k
    849664159U, // LDAPRXpost
5563
414k
    44058791U,  // LDAPURBi
5564
414k
    44060481U,  // LDAPURHi
5565
414k
    44058931U,  // LDAPURSBWi
5566
414k
    44058931U,  // LDAPURSBXi
5567
414k
    44060608U,  // LDAPURSHWi
5568
414k
    44060608U,  // LDAPURSHXi
5569
414k
    44064743U,  // LDAPURSWi
5570
414k
    44062972U,  // LDAPURXi
5571
414k
    44062972U,  // LDAPURbi
5572
414k
    44062972U,  // LDAPURdi
5573
414k
    44062972U,  // LDAPURhi
5574
414k
    44062972U,  // LDAPURi
5575
414k
    44062972U,  // LDAPURqi
5576
414k
    44062972U,  // LDAPURsi
5577
414k
    44058696U,  // LDARB
5578
414k
    44060386U,  // LDARH
5579
414k
    44062641U,  // LDARW
5580
414k
    44062641U,  // LDARX
5581
414k
    2119430U, // LDAXPW
5582
414k
    2119430U, // LDAXPX
5583
414k
    44058807U,  // LDAXRB
5584
414k
    44060497U,  // LDAXRH
5585
414k
    44063016U,  // LDAXRW
5586
414k
    44063016U,  // LDAXRX
5587
414k
    2418328955U,  // LDCLRAB
5588
414k
    2418330975U,  // LDCLRAH
5589
414k
    2418329206U,  // LDCLRALB
5590
414k
    2418331132U,  // LDCLRALH
5591
414k
    2418331967U,  // LDCLRALW
5592
414k
    2418331967U,  // LDCLRALX
5593
414k
    2418328593U,  // LDCLRAW
5594
414k
    2418328593U,  // LDCLRAX
5595
414k
    2418329693U,  // LDCLRB
5596
414k
    2418331383U,  // LDCLRH
5597
414k
    2418329414U,  // LDCLRLB
5598
414k
    2418331228U,  // LDCLRLH
5599
414k
    2418332490U,  // LDCLRLW
5600
414k
    2418332490U,  // LDCLRLX
5601
414k
    271537805U, // LDCLRP
5602
414k
    271532966U, // LDCLRPA
5603
414k
    271536337U, // LDCLRPAL
5604
414k
    271536862U, // LDCLRPL
5605
414k
    2418333745U,  // LDCLRW
5606
414k
    2418333745U,  // LDCLRX
5607
414k
    2418328964U,  // LDEORAB
5608
414k
    2418330984U,  // LDEORAH
5609
414k
    2418329216U,  // LDEORALB
5610
414k
    2418331142U,  // LDEORALH
5611
414k
    2418331997U,  // LDEORALW
5612
414k
    2418331997U,  // LDEORALX
5613
414k
    2418328620U,  // LDEORAW
5614
414k
    2418328620U,  // LDEORAX
5615
414k
    2418329716U,  // LDEORB
5616
414k
    2418331406U,  // LDEORH
5617
414k
    2418329423U,  // LDEORLB
5618
414k
    2418331237U,  // LDEORLH
5619
414k
    2418332517U,  // LDEORLW
5620
414k
    2418332517U,  // LDEORLX
5621
414k
    2418333838U,  // LDEORW
5622
414k
    2418333838U,  // LDEORX
5623
414k
    297960657U, // LDFF1B_D_REAL
5624
414k
    297977041U, // LDFF1B_H_REAL
5625
414k
    297944273U, // LDFF1B_REAL
5626
414k
    297993425U, // LDFF1B_S_REAL
5627
414k
    297962104U, // LDFF1D_REAL
5628
414k
    297962690U, // LDFF1H_D_REAL
5629
414k
    297979074U, // LDFF1H_REAL
5630
414k
    297995458U, // LDFF1H_S_REAL
5631
414k
    297961692U, // LDFF1SB_D_REAL
5632
414k
    297978076U, // LDFF1SB_H_REAL
5633
414k
    297994460U, // LDFF1SB_S_REAL
5634
414k
    297963382U, // LDFF1SH_D_REAL
5635
414k
    297996150U, // LDFF1SH_S_REAL
5636
414k
    297967526U, // LDFF1SW_D_REAL
5637
414k
    297967330U, // LDFF1W_D_REAL
5638
414k
    298000098U, // LDFF1W_REAL
5639
414k
    849661059U, // LDG
5640
414k
    44061763U,  // LDGM
5641
414k
    2119270U, // LDIAPPW
5642
414k
    807720550U, // LDIAPPWpost
5643
414k
    2119270U, // LDIAPPX
5644
414k
    807720550U, // LDIAPPXpost
5645
414k
    44058703U,  // LDLARB
5646
414k
    44060393U,  // LDLARH
5647
414k
    44062647U,  // LDLARW
5648
414k
    44062647U,  // LDLARX
5649
414k
    297960665U, // LDNF1B_D_IMM_REAL
5650
414k
    297977049U, // LDNF1B_H_IMM_REAL
5651
414k
    297944281U, // LDNF1B_IMM_REAL
5652
414k
    297993433U, // LDNF1B_S_IMM_REAL
5653
414k
    297962112U, // LDNF1D_IMM_REAL
5654
414k
    297962698U, // LDNF1H_D_IMM_REAL
5655
414k
    297979082U, // LDNF1H_IMM_REAL
5656
414k
    297995466U, // LDNF1H_S_IMM_REAL
5657
414k
    297961701U, // LDNF1SB_D_IMM_REAL
5658
414k
    297978085U, // LDNF1SB_H_IMM_REAL
5659
414k
    297994469U, // LDNF1SB_S_IMM_REAL
5660
414k
    297963391U, // LDNF1SH_D_IMM_REAL
5661
414k
    297996159U, // LDNF1SH_S_IMM_REAL
5662
414k
    297967535U, // LDNF1SW_D_IMM_REAL
5663
414k
    297967338U, // LDNF1W_D_IMM_REAL
5664
414k
    298000106U, // LDNF1W_IMM_REAL
5665
414k
    2119237U, // LDNPDi
5666
414k
    2119237U, // LDNPQi
5667
414k
    2119237U, // LDNPSi
5668
414k
    2119237U, // LDNPWi
5669
414k
    2119237U, // LDNPXi
5670
414k
    362956001U, // LDNT1B_2Z
5671
414k
    362956001U, // LDNT1B_2Z_IMM
5672
414k
    2150139105U,  // LDNT1B_2Z_STRIDED
5673
414k
    2150139105U,  // LDNT1B_2Z_STRIDED_IMM
5674
414k
    362956001U, // LDNT1B_4Z
5675
414k
    362956001U, // LDNT1B_4Z_IMM
5676
414k
    362956001U, // LDNT1B_4Z_STRIDED
5677
414k
    362956001U, // LDNT1B_4Z_STRIDED_IMM
5678
414k
    297944289U, // LDNT1B_ZRI
5679
414k
    297944289U, // LDNT1B_ZRR
5680
414k
    297960673U, // LDNT1B_ZZR_D_REAL
5681
414k
    297993441U, // LDNT1B_ZZR_S_REAL
5682
414k
    362973832U, // LDNT1D_2Z
5683
414k
    362973832U, // LDNT1D_2Z_IMM
5684
414k
    362973832U, // LDNT1D_2Z_STRIDED
5685
414k
    362973832U, // LDNT1D_2Z_STRIDED_IMM
5686
414k
    362973832U, // LDNT1D_4Z
5687
414k
    362973832U, // LDNT1D_4Z_IMM
5688
414k
    362973832U, // LDNT1D_4Z_STRIDED
5689
414k
    362973832U, // LDNT1D_4Z_STRIDED_IMM
5690
414k
    297962120U, // LDNT1D_ZRI
5691
414k
    297962120U, // LDNT1D_ZRR
5692
414k
    297962120U, // LDNT1D_ZZR_D_REAL
5693
414k
    362990802U, // LDNT1H_2Z
5694
414k
    362990802U, // LDNT1H_2Z_IMM
5695
414k
    2150419666U,  // LDNT1H_2Z_STRIDED
5696
414k
    2150419666U,  // LDNT1H_2Z_STRIDED_IMM
5697
414k
    362990802U, // LDNT1H_4Z
5698
414k
    362990802U, // LDNT1H_4Z_IMM
5699
414k
    362990802U, // LDNT1H_4Z_STRIDED
5700
414k
    362990802U, // LDNT1H_4Z_STRIDED_IMM
5701
414k
    297979090U, // LDNT1H_ZRI
5702
414k
    297979090U, // LDNT1H_ZRR
5703
414k
    297962706U, // LDNT1H_ZZR_D_REAL
5704
414k
    297995474U, // LDNT1H_ZZR_S_REAL
5705
414k
    297961710U, // LDNT1SB_ZZR_D_REAL
5706
414k
    297994478U, // LDNT1SB_ZZR_S_REAL
5707
414k
    297963400U, // LDNT1SH_ZZR_D_REAL
5708
414k
    297996168U, // LDNT1SH_ZZR_S_REAL
5709
414k
    297967544U, // LDNT1SW_ZZR_D_REAL
5710
414k
    363011826U, // LDNT1W_2Z
5711
414k
    363011826U, // LDNT1W_2Z_IMM
5712
414k
    363011826U, // LDNT1W_2Z_STRIDED
5713
414k
    363011826U, // LDNT1W_2Z_STRIDED_IMM
5714
414k
    363011826U, // LDNT1W_4Z
5715
414k
    363011826U, // LDNT1W_4Z_IMM
5716
414k
    363011826U, // LDNT1W_4Z_STRIDED
5717
414k
    363011826U, // LDNT1W_4Z_STRIDED_IMM
5718
414k
    298000114U, // LDNT1W_ZRI
5719
414k
    298000114U, // LDNT1W_ZRR
5720
414k
    297967346U, // LDNT1W_ZZR_D_REAL
5721
414k
    298000114U, // LDNT1W_ZZR_S_REAL
5722
414k
    2119120U, // LDPDi
5723
414k
    807720400U, // LDPDpost
5724
414k
    807720400U, // LDPDpre
5725
414k
    2119120U, // LDPQi
5726
414k
    807720400U, // LDPQpost
5727
414k
    807720400U, // LDPQpre
5728
414k
    2121665U, // LDPSWi
5729
414k
    807722945U, // LDPSWpost
5730
414k
    807722945U, // LDPSWpre
5731
414k
    2119120U, // LDPSi
5732
414k
    807720400U, // LDPSpost
5733
414k
    807720400U, // LDPSpre
5734
414k
    2119120U, // LDPWi
5735
414k
    807720400U, // LDPWpost
5736
414k
    807720400U, // LDPWpre
5737
414k
    2119120U, // LDPXi
5738
414k
    807720400U, // LDPXpost
5739
414k
    807720400U, // LDPXpre
5740
414k
    44057300U,  // LDRAAindexed
5741
414k
    849658580U, // LDRAAwriteback
5742
414k
    44057965U,  // LDRABindexed
5743
414k
    849659245U, // LDRABwriteback
5744
414k
    849659991U, // LDRBBpost
5745
414k
    849659991U, // LDRBBpre
5746
414k
    44058711U,  // LDRBBroW
5747
414k
    44058711U,  // LDRBBroX
5748
414k
    44058711U,  // LDRBBui
5749
414k
    849663983U, // LDRBpost
5750
414k
    849663983U, // LDRBpre
5751
414k
    44062703U,  // LDRBroW
5752
414k
    44062703U,  // LDRBroX
5753
414k
    44062703U,  // LDRBui
5754
414k
    2149603311U,  // LDRDl
5755
414k
    849663983U, // LDRDpost
5756
414k
    849663983U, // LDRDpre
5757
414k
    44062703U,  // LDRDroW
5758
414k
    44062703U,  // LDRDroX
5759
414k
    44062703U,  // LDRDui
5760
414k
    849661681U, // LDRHHpost
5761
414k
    849661681U, // LDRHHpre
5762
414k
    44060401U,  // LDRHHroW
5763
414k
    44060401U,  // LDRHHroX
5764
414k
    44060401U,  // LDRHHui
5765
414k
    849663983U, // LDRHpost
5766
414k
    849663983U, // LDRHpre
5767
414k
    44062703U,  // LDRHroW
5768
414k
    44062703U,  // LDRHroX
5769
414k
    44062703U,  // LDRHui
5770
414k
    2149603311U,  // LDRQl
5771
414k
    849663983U, // LDRQpost
5772
414k
    849663983U, // LDRQpre
5773
414k
    44062703U,  // LDRQroW
5774
414k
    44062703U,  // LDRQroX
5775
414k
    44062703U,  // LDRQui
5776
414k
    849660188U, // LDRSBWpost
5777
414k
    849660188U, // LDRSBWpre
5778
414k
    44058908U,  // LDRSBWroW
5779
414k
    44058908U,  // LDRSBWroX
5780
414k
    44058908U,  // LDRSBWui
5781
414k
    849660188U, // LDRSBXpost
5782
414k
    849660188U, // LDRSBXpre
5783
414k
    44058908U,  // LDRSBXroW
5784
414k
    44058908U,  // LDRSBXroX
5785
414k
    44058908U,  // LDRSBXui
5786
414k
    849661865U, // LDRSHWpost
5787
414k
    849661865U, // LDRSHWpre
5788
414k
    44060585U,  // LDRSHWroW
5789
414k
    44060585U,  // LDRSHWroX
5790
414k
    44060585U,  // LDRSHWui
5791
414k
    849661865U, // LDRSHXpost
5792
414k
    849661865U, // LDRSHXpre
5793
414k
    44060585U,  // LDRSHXroW
5794
414k
    44060585U,  // LDRSHXroX
5795
414k
    44060585U,  // LDRSHXui
5796
414k
    2149605328U,  // LDRSWl
5797
414k
    849666000U, // LDRSWpost
5798
414k
    849666000U, // LDRSWpre
5799
414k
    44064720U,  // LDRSWroW
5800
414k
    44064720U,  // LDRSWroX
5801
414k
    44064720U,  // LDRSWui
5802
414k
    2149603311U,  // LDRSl
5803
414k
    849663983U, // LDRSpost
5804
414k
    849663983U, // LDRSpre
5805
414k
    44062703U,  // LDRSroW
5806
414k
    44062703U,  // LDRSroX
5807
414k
    44062703U,  // LDRSui
5808
414k
    2149603311U,  // LDRWl
5809
414k
    849663983U, // LDRWpost
5810
414k
    849663983U, // LDRWpre
5811
414k
    44062703U,  // LDRWroW
5812
414k
    44062703U,  // LDRWroX
5813
414k
    44062703U,  // LDRWui
5814
414k
    2149603311U,  // LDRXl
5815
414k
    849663983U, // LDRXpost
5816
414k
    849663983U, // LDRXpre
5817
414k
    44062703U,  // LDRXroW
5818
414k
    44062703U,  // LDRXroX
5819
414k
    44062703U,  // LDRXui
5820
414k
    45062127U,  // LDR_PXI
5821
414k
    44062703U,  // LDR_TX
5822
414k
    1038319U, // LDR_ZA
5823
414k
    45062127U,  // LDR_ZXI
5824
414k
    2418328980U,  // LDSETAB
5825
414k
    2418331000U,  // LDSETAH
5826
414k
    2418329234U,  // LDSETALB
5827
414k
    2418331160U,  // LDSETALH
5828
414k
    2418332027U,  // LDSETALW
5829
414k
    2418332027U,  // LDSETALX
5830
414k
    2418328673U,  // LDSETAW
5831
414k
    2418328673U,  // LDSETAX
5832
414k
    2418329922U,  // LDSETB
5833
414k
    2418331594U,  // LDSETH
5834
414k
    2418329474U,  // LDSETLB
5835
414k
    2418331253U,  // LDSETLH
5836
414k
    2418332587U,  // LDSETLW
5837
414k
    2418332587U,  // LDSETLX
5838
414k
    271537857U, // LDSETP
5839
414k
    271533017U, // LDSETPA
5840
414k
    271536393U, // LDSETPAL
5841
414k
    271536921U, // LDSETPL
5842
414k
    2418334421U,  // LDSETW
5843
414k
    2418334421U,  // LDSETX
5844
414k
    2418328989U,  // LDSMAXAB
5845
414k
    2418331009U,  // LDSMAXAH
5846
414k
    2418329244U,  // LDSMAXALB
5847
414k
    2418331170U,  // LDSMAXALH
5848
414k
    2418332057U,  // LDSMAXALW
5849
414k
    2418332057U,  // LDSMAXALX
5850
414k
    2418328729U,  // LDSMAXAW
5851
414k
    2418328729U,  // LDSMAXAX
5852
414k
    2418330078U,  // LDSMAXB
5853
414k
    2418331626U,  // LDSMAXH
5854
414k
    2418329483U,  // LDSMAXLB
5855
414k
    2418331295U,  // LDSMAXLH
5856
414k
    2418332695U,  // LDSMAXLW
5857
414k
    2418332695U,  // LDSMAXLX
5858
414k
    2418335801U,  // LDSMAXW
5859
414k
    2418335801U,  // LDSMAXX
5860
414k
    2418328908U,  // LDSMINAB
5861
414k
    2418330948U,  // LDSMINAH
5862
414k
    2418329176U,  // LDSMINALB
5863
414k
    2418331102U,  // LDSMINALH
5864
414k
    2418331814U,  // LDSMINALW
5865
414k
    2418331814U,  // LDSMINALX
5866
414k
    2418328409U,  // LDSMINAW
5867
414k
    2418328409U,  // LDSMINAX
5868
414k
    2418329526U,  // LDSMINB
5869
414k
    2418331315U,  // LDSMINH
5870
414k
    2418329387U,  // LDSMINLB
5871
414k
    2418331201U,  // LDSMINLH
5872
414k
    2418332336U,  // LDSMINLW
5873
414k
    2418332336U,  // LDSMINLX
5874
414k
    2418332860U,  // LDSMINW
5875
414k
    2418332860U,  // LDSMINX
5876
414k
    44058756U,  // LDTRBi
5877
414k
    44060446U,  // LDTRHi
5878
414k
    44058915U,  // LDTRSBWi
5879
414k
    44058915U,  // LDTRSBXi
5880
414k
    44060592U,  // LDTRSHWi
5881
414k
    44060592U,  // LDTRSHXi
5882
414k
    44064727U,  // LDTRSWi
5883
414k
    44062930U,  // LDTRWi
5884
414k
    44062930U,  // LDTRXi
5885
414k
    2418328999U,  // LDUMAXAB
5886
414k
    2418331019U,  // LDUMAXAH
5887
414k
    2418329255U,  // LDUMAXALB
5888
414k
    2418331181U,  // LDUMAXALH
5889
414k
    2418332067U,  // LDUMAXALW
5890
414k
    2418332067U,  // LDUMAXALX
5891
414k
    2418328738U,  // LDUMAXAW
5892
414k
    2418328738U,  // LDUMAXAX
5893
414k
    2418330087U,  // LDUMAXB
5894
414k
    2418331635U,  // LDUMAXH
5895
414k
    2418329493U,  // LDUMAXLB
5896
414k
    2418331305U,  // LDUMAXLH
5897
414k
    2418332704U,  // LDUMAXLW
5898
414k
    2418332704U,  // LDUMAXLX
5899
414k
    2418335809U,  // LDUMAXW
5900
414k
    2418335809U,  // LDUMAXX
5901
414k
    2418328918U,  // LDUMINAB
5902
414k
    2418330958U,  // LDUMINAH
5903
414k
    2418329187U,  // LDUMINALB
5904
414k
    2418331113U,  // LDUMINALH
5905
414k
    2418331824U,  // LDUMINALW
5906
414k
    2418331824U,  // LDUMINALX
5907
414k
    2418328418U,  // LDUMINAW
5908
414k
    2418328418U,  // LDUMINAX
5909
414k
    2418329535U,  // LDUMINB
5910
414k
    2418331324U,  // LDUMINH
5911
414k
    2418329397U,  // LDUMINLB
5912
414k
    2418331211U,  // LDUMINLH
5913
414k
    2418332345U,  // LDUMINLW
5914
414k
    2418332345U,  // LDUMINLX
5915
414k
    2418332868U,  // LDUMINW
5916
414k
    2418332868U,  // LDUMINX
5917
414k
    44058776U,  // LDURBBi
5918
414k
    44062959U,  // LDURBi
5919
414k
    44062959U,  // LDURDi
5920
414k
    44060466U,  // LDURHHi
5921
414k
    44062959U,  // LDURHi
5922
414k
    44062959U,  // LDURQi
5923
414k
    44058923U,  // LDURSBWi
5924
414k
    44058923U,  // LDURSBXi
5925
414k
    44060600U,  // LDURSHWi
5926
414k
    44060600U,  // LDURSHXi
5927
414k
    44064735U,  // LDURSWi
5928
414k
    44062959U,  // LDURSi
5929
414k
    44062959U,  // LDURWi
5930
414k
    44062959U,  // LDURXi
5931
414k
    2119458U, // LDXPW
5932
414k
    2119458U, // LDXPX
5933
414k
    44058815U,  // LDXRB
5934
414k
    44060505U,  // LDXRH
5935
414k
    44063023U,  // LDXRW
5936
414k
    44063023U,  // LDXRX
5937
414k
    3223361666U,  // LSLR_ZPmZ_B
5938
414k
    3223378050U,  // LSLR_ZPmZ_D
5939
414k
    3519092866U,  // LSLR_ZPmZ_H
5940
414k
    3223410818U,  // LSLR_ZPmZ_S
5941
414k
    2118538U, // LSLVWr
5942
414k
    2118538U, // LSLVXr
5943
414k
    3223360394U,  // LSL_WIDE_ZPmZ_B
5944
414k
    3519091594U,  // LSL_WIDE_ZPmZ_H
5945
414k
    3223409546U,  // LSL_WIDE_ZPmZ_S
5946
414k
    2134922U, // LSL_WIDE_ZZZ_B
5947
414k
    2189497226U,  // LSL_WIDE_ZZZ_H
5948
414k
    270619530U, // LSL_WIDE_ZZZ_S
5949
414k
    3223360394U,  // LSL_ZPmI_B
5950
414k
    3223376778U,  // LSL_ZPmI_D
5951
414k
    3519091594U,  // LSL_ZPmI_H
5952
414k
    3223409546U,  // LSL_ZPmI_S
5953
414k
    3223360394U,  // LSL_ZPmZ_B
5954
414k
    3223376778U,  // LSL_ZPmZ_D
5955
414k
    3519091594U,  // LSL_ZPmZ_H
5956
414k
    3223409546U,  // LSL_ZPmZ_S
5957
414k
    2134922U, // LSL_ZZI_B
5958
414k
    2418070410U,  // LSL_ZZI_D
5959
414k
    2189497226U,  // LSL_ZZI_H
5960
414k
    270619530U, // LSL_ZZI_S
5961
414k
    3223361713U,  // LSRR_ZPmZ_B
5962
414k
    3223378097U,  // LSRR_ZPmZ_D
5963
414k
    3519092913U,  // LSRR_ZPmZ_H
5964
414k
    3223410865U,  // LSRR_ZPmZ_S
5965
414k
    2119874U, // LSRVWr
5966
414k
    2119874U, // LSRVXr
5967
414k
    3223361730U,  // LSR_WIDE_ZPmZ_B
5968
414k
    3519092930U,  // LSR_WIDE_ZPmZ_H
5969
414k
    3223410882U,  // LSR_WIDE_ZPmZ_S
5970
414k
    2136258U, // LSR_WIDE_ZZZ_B
5971
414k
    2189498562U,  // LSR_WIDE_ZZZ_H
5972
414k
    270620866U, // LSR_WIDE_ZZZ_S
5973
414k
    3223361730U,  // LSR_ZPmI_B
5974
414k
    3223378114U,  // LSR_ZPmI_D
5975
414k
    3519092930U,  // LSR_ZPmI_H
5976
414k
    3223410882U,  // LSR_ZPmI_S
5977
414k
    3223361730U,  // LSR_ZPmZ_B
5978
414k
    3223378114U,  // LSR_ZPmZ_D
5979
414k
    3519092930U,  // LSR_ZPmZ_H
5980
414k
    3223410882U,  // LSR_ZPmZ_S
5981
414k
    2136258U, // LSR_ZZI_B
5982
414k
    2418071746U,  // LSR_ZZI_D
5983
414k
    2189498562U,  // LSR_ZZI_H
5984
414k
    270620866U, // LSR_ZZI_S
5985
414k
    2690744519U,  // LUT2v16f8
5986
414k
    2969665735U,  // LUT2v8f16
5987
414k
    2690744986U,  // LUT4v16f8
5988
414k
    2969666202U,  // LUT4v8f16
5989
414k
    2208448711U,  // LUTI2_2ZTZI_B
5990
414k
    2208481479U,  // LUTI2_2ZTZI_H
5991
414k
    2208497863U,  // LUTI2_2ZTZI_S
5992
414k
    2208448711U,  // LUTI2_4ZTZI_B
5993
414k
    2208481479U,  // LUTI2_4ZTZI_H
5994
414k
    2208497863U,  // LUTI2_4ZTZI_S
5995
414k
    2654407U, // LUTI2_S_2ZTZI_B
5996
414k
    2932935U, // LUTI2_S_2ZTZI_H
5997
414k
    2208448711U,  // LUTI2_S_4ZTZI_B
5998
414k
    2208481479U,  // LUTI2_S_4ZTZI_H
5999
414k
    2130119U, // LUTI2_ZTZI_B
6000
414k
    2208366791U,  // LUTI2_ZTZI_H
6001
414k
    2179271U, // LUTI2_ZTZI_S
6002
414k
    2686484679U,  // LUTI2_ZZZI_B
6003
414k
    2183200967U,  // LUTI2_ZZZI_H
6004
414k
    2208449178U,  // LUTI4_2ZTZI_B
6005
414k
    2208481946U,  // LUTI4_2ZTZI_H
6006
414k
    2208498330U,  // LUTI4_2ZTZI_S
6007
414k
    2208481946U,  // LUTI4_4ZTZI_H
6008
414k
    2208498330U,  // LUTI4_4ZTZI_S
6009
414k
    2208449178U,  // LUTI4_4ZZT2Z
6010
414k
    2654874U, // LUTI4_S_2ZTZI_B
6011
414k
    2933402U, // LUTI4_S_2ZTZI_H
6012
414k
    2208481946U,  // LUTI4_S_4ZTZI_H
6013
414k
    2208449178U,  // LUTI4_S_4ZZT2Z
6014
414k
    2183201434U,  // LUTI4_Z2ZZI_H
6015
414k
    2130586U, // LUTI4_ZTZI_B
6016
414k
    2208367258U,  // LUTI4_ZTZI_H
6017
414k
    2179738U, // LUTI4_ZTZI_S
6018
414k
    2686485146U,  // LUTI4_ZZZI_B
6019
414k
    2183201434U,  // LUTI4_ZZZI_H
6020
414k
    2120946U, // MADDPT
6021
414k
    2116427U, // MADDWrrr
6022
414k
    2116427U, // MADDXrrr
6023
414k
    1075895531U,  // MAD_CPA
6024
414k
    3223358147U,  // MAD_ZPmZZ_B
6025
414k
    3223374531U,  // MAD_ZPmZZ_D
6026
414k
    3519089347U,  // MAD_ZPmZZ_H
6027
414k
    3223407299U,  // MAD_ZPmZZ_S
6028
414k
    3223358911U,  // MATCH_PPzZZ_B
6029
414k
    2713783743U,  // MATCH_PPzZZ_H
6030
414k
    1075895516U,  // MLA_CPA
6031
414k
    3223356207U,  // MLA_ZPmZZ_B
6032
414k
    3223372591U,  // MLA_ZPmZZ_D
6033
414k
    3519087407U,  // MLA_ZPmZZ_H
6034
414k
    3223405359U,  // MLA_ZPmZZ_S
6035
414k
    1075888943U,  // MLA_ZZZI_D
6036
414k
    2195784495U,  // MLA_ZZZI_H
6037
414k
    1344357167U,  // MLA_ZZZI_S
6038
414k
    2959213359U,  // MLAv16i8
6039
414k
    2961310511U,  // MLAv2i32
6040
414k
    2961310511U,  // MLAv2i32_indexed
6041
414k
    2965504815U,  // MLAv4i16
6042
414k
    2965504815U,  // MLAv4i16_indexed
6043
414k
    2967601967U,  // MLAv4i32
6044
414k
    2967601967U,  // MLAv4i32_indexed
6045
414k
    2969699119U,  // MLAv8i16
6046
414k
    2969699119U,  // MLAv8i16_indexed
6047
414k
    2971796271U,  // MLAv8i8
6048
414k
    3223362009U,  // MLS_ZPmZZ_B
6049
414k
    3223378393U,  // MLS_ZPmZZ_D
6050
414k
    3519093209U,  // MLS_ZPmZZ_H
6051
414k
    3223411161U,  // MLS_ZPmZZ_S
6052
414k
    1075894745U,  // MLS_ZZZI_D
6053
414k
    2195790297U,  // MLS_ZZZI_H
6054
414k
    1344362969U,  // MLS_ZZZI_S
6055
414k
    2959219161U,  // MLSv16i8
6056
414k
    2961316313U,  // MLSv2i32
6057
414k
    2961316313U,  // MLSv2i32_indexed
6058
414k
    2965510617U,  // MLSv4i16
6059
414k
    2965510617U,  // MLSv4i16_indexed
6060
414k
    2967607769U,  // MLSv4i32
6061
414k
    2967607769U,  // MLSv4i32_indexed
6062
414k
    2969704921U,  // MLSv8i16
6063
414k
    2969704921U,  // MLSv8i16_indexed
6064
414k
    2971802073U,  // MLSv8i8
6065
414k
    145711833U, // MOPSSETGE
6066
414k
    145711894U, // MOPSSETGEN
6067
414k
    145712782U, // MOPSSETGET
6068
414k
    145712255U, // MOPSSETGETN
6069
414k
    3368181950U,  // MOVAZ_2ZMI_H_B
6070
414k
    3368198334U,  // MOVAZ_2ZMI_H_D
6071
414k
    3368214718U,  // MOVAZ_2ZMI_H_H
6072
414k
    3368231102U,  // MOVAZ_2ZMI_H_S
6073
414k
    3370279102U,  // MOVAZ_2ZMI_V_B
6074
414k
    3370295486U,  // MOVAZ_2ZMI_V_D
6075
414k
    3370311870U,  // MOVAZ_2ZMI_V_H
6076
414k
    3370328254U,  // MOVAZ_2ZMI_V_S
6077
414k
    3636617406U,  // MOVAZ_4ZMI_H_B
6078
414k
    3636633790U,  // MOVAZ_4ZMI_H_D
6079
414k
    3636650174U,  // MOVAZ_4ZMI_H_H
6080
414k
    3636666558U,  // MOVAZ_4ZMI_H_S
6081
414k
    3638714558U,  // MOVAZ_4ZMI_V_B
6082
414k
    3638730942U,  // MOVAZ_4ZMI_V_D
6083
414k
    3638747326U,  // MOVAZ_4ZMI_V_H
6084
414k
    3638763710U,  // MOVAZ_4ZMI_V_S
6085
414k
    3909263550U,  // MOVAZ_VG2_2ZM
6086
414k
    4177699006U,  // MOVAZ_VG4_4ZM
6087
414k
    2138302U, // MOVAZ_ZMI_H_B
6088
414k
    2154686U, // MOVAZ_ZMI_H_D
6089
414k
    421601470U, // MOVAZ_ZMI_H_H
6090
414k
    422011070U, // MOVAZ_ZMI_H_Q
6091
414k
    2187454U, // MOVAZ_ZMI_H_S
6092
414k
    270573758U, // MOVAZ_ZMI_V_B
6093
414k
    270590142U, // MOVAZ_ZMI_V_D
6094
414k
    423698622U, // MOVAZ_ZMI_V_H
6095
414k
    424108222U, // MOVAZ_ZMI_V_Q
6096
414k
    270622910U, // MOVAZ_ZMI_V_S
6097
414k
    958547091U, // MOVA_2ZMXI_H_B
6098
414k
    958563475U, // MOVA_2ZMXI_H_D
6099
414k
    958579859U, // MOVA_2ZMXI_H_H
6100
414k
    958596243U, // MOVA_2ZMXI_H_S
6101
414k
    960644243U, // MOVA_2ZMXI_V_B
6102
414k
    960660627U, // MOVA_2ZMXI_V_D
6103
414k
    960677011U, // MOVA_2ZMXI_V_H
6104
414k
    960693395U, // MOVA_2ZMXI_V_S
6105
414k
    958547091U, // MOVA_4ZMXI_H_B
6106
414k
    958563475U, // MOVA_4ZMXI_H_D
6107
414k
    958579859U, // MOVA_4ZMXI_H_H
6108
414k
    958596243U, // MOVA_4ZMXI_H_S
6109
414k
    960644243U, // MOVA_4ZMXI_V_B
6110
414k
    960660627U, // MOVA_4ZMXI_V_D
6111
414k
    960677011U, // MOVA_4ZMXI_V_H
6112
414k
    960693395U, // MOVA_4ZMXI_V_S
6113
414k
    2233992339U,  // MOVA_MXI2Z_H_B
6114
414k
    2233992339U,  // MOVA_MXI2Z_H_D
6115
414k
    2233992339U,  // MOVA_MXI2Z_H_H
6116
414k
    2233992339U,  // MOVA_MXI2Z_H_S
6117
414k
    2234008723U,  // MOVA_MXI2Z_V_B
6118
414k
    2234008723U,  // MOVA_MXI2Z_V_D
6119
414k
    2234008723U,  // MOVA_MXI2Z_V_H
6120
414k
    2234008723U,  // MOVA_MXI2Z_V_S
6121
414k
    2233992339U,  // MOVA_MXI4Z_H_B
6122
414k
    2233992339U,  // MOVA_MXI4Z_H_D
6123
414k
    2233992339U,  // MOVA_MXI4Z_H_H
6124
414k
    2233992339U,  // MOVA_MXI4Z_H_S
6125
414k
    2234008723U,  // MOVA_MXI4Z_V_B
6126
414k
    2234008723U,  // MOVA_MXI4Z_V_D
6127
414k
    2234008723U,  // MOVA_MXI4Z_V_H
6128
414k
    2234008723U,  // MOVA_MXI4Z_V_S
6129
414k
    3915547795U,  // MOVA_VG2_2ZMXI
6130
414k
    3798156435U,  // MOVA_VG2_MXI2Z
6131
414k
    4183983251U,  // MOVA_VG4_4ZMXI
6132
414k
    4066591891U,  // MOVA_VG4_MXI4Z
6133
414k
    538988625U, // MOVID
6134
414k
    811700305U, // MOVIv16b_ns
6135
414k
    547459153U, // MOVIv2d_ns
6136
414k
    813797457U, // MOVIv2i32
6137
414k
    813797457U, // MOVIv2s_msl
6138
414k
    817991761U, // MOVIv4i16
6139
414k
    820088913U, // MOVIv4i32
6140
414k
    820088913U, // MOVIv4s_msl
6141
414k
    824283217U, // MOVIv8b_ns
6142
414k
    822186065U, // MOVIv8i16
6143
414k
    1881165930U,  // MOVKWi
6144
414k
    1881165930U,  // MOVKXi
6145
414k
    807425366U, // MOVNWi
6146
414k
    807425366U, // MOVNXi
6147
414k
    270573669U, // MOVPRFX_ZPmZ_B
6148
414k
    270590053U, // MOVPRFX_ZPmZ_D
6149
414k
    541139045U, // MOVPRFX_ZPmZ_H
6150
414k
    270622821U, // MOVPRFX_ZPmZ_S
6151
414k
    3223363685U,  // MOVPRFX_ZPzZ_B
6152
414k
    3223380069U,  // MOVPRFX_ZPzZ_D
6153
414k
    2713788517U,  // MOVPRFX_ZPzZ_H
6154
414k
    3223412837U,  // MOVPRFX_ZPzZ_S
6155
414k
    3224346725U,  // MOVPRFX_ZZ
6156
414k
    1233149277U,  // MOVT
6157
414k
    1501584733U,  // MOVT_TIX
6158
414k
    2121053U, // MOVT_XTI
6159
414k
    807428348U, // MOVZWi
6160
414k
    807428348U, // MOVZXi
6161
414k
    1055325U, // MRRS
6162
414k
    1612733004U,  // MRS
6163
414k
    3223357704U,  // MSB_ZPmZZ_B
6164
414k
    3223374088U,  // MSB_ZPmZZ_D
6165
414k
    3519088904U,  // MSB_ZPmZZ_H
6166
414k
    3223406856U,  // MSB_ZPmZZ_S
6167
414k
    1672501447U,  // MSR
6168
414k
    162551991U, // MSRR
6169
414k
    1087687U, // MSRpstateImm1
6170
414k
    1087687U, // MSRpstateImm4
6171
414k
    1104071U, // MSRpstatesvcrImm1
6172
414k
    2120931U, // MSUBPT
6173
414k
    2115996U, // MSUBWrrr
6174
414k
    2115996U, // MSUBXrrr
6175
414k
    2135009U, // MUL_ZI_B
6176
414k
    2418070497U,  // MUL_ZI_D
6177
414k
    2189497313U,  // MUL_ZI_H
6178
414k
    270619617U, // MUL_ZI_S
6179
414k
    3223360481U,  // MUL_ZPmZ_B
6180
414k
    3223376865U,  // MUL_ZPmZ_D
6181
414k
    3519091681U,  // MUL_ZPmZ_H
6182
414k
    3223409633U,  // MUL_ZPmZ_S
6183
414k
    2418070497U,  // MUL_ZZZI_D
6184
414k
    2189497313U,  // MUL_ZZZI_H
6185
414k
    270619617U, // MUL_ZZZI_S
6186
414k
    2135009U, // MUL_ZZZ_B
6187
414k
    2418070497U,  // MUL_ZZZ_D
6188
414k
    2189497313U,  // MUL_ZZZ_H
6189
414k
    270619617U, // MUL_ZZZ_S
6190
414k
    811701217U, // MULv16i8
6191
414k
    813798369U, // MULv2i32
6192
414k
    813798369U, // MULv2i32_indexed
6193
414k
    817992673U, // MULv4i16
6194
414k
    817992673U, // MULv4i16_indexed
6195
414k
    820089825U, // MULv4i32
6196
414k
    820089825U, // MULv4i32_indexed
6197
414k
    822186977U, // MULv8i16
6198
414k
    822186977U, // MULv8i16_indexed
6199
414k
    824284129U, // MULv8i8
6200
414k
    813797438U, // MVNIv2i32
6201
414k
    813797438U, // MVNIv2s_msl
6202
414k
    817991742U, // MVNIv4i16
6203
414k
    820088894U, // MVNIv4i32
6204
414k
    820088894U, // MVNIv4s_msl
6205
414k
    822186046U, // MVNIv8i16
6206
414k
    3223361956U,  // NANDS_PPzPP
6207
414k
    3223358319U,  // NAND_PPzPP
6208
414k
    2418070400U,  // NBSL_ZZZZ
6209
414k
    270568585U, // NEG_ZPmZ_B
6210
414k
    270584969U, // NEG_ZPmZ_D
6211
414k
    541133961U, // NEG_ZPmZ_H
6212
414k
    270617737U, // NEG_ZPmZ_S
6213
414k
    811699337U, // NEGv16i8
6214
414k
    2116745U, // NEGv1i64
6215
414k
    813796489U, // NEGv2i32
6216
414k
    815893641U, // NEGv2i64
6217
414k
    817990793U, // NEGv4i16
6218
414k
    820087945U, // NEGv4i32
6219
414k
    822185097U, // NEGv8i16
6220
414k
    824282249U, // NEGv8i8
6221
414k
    3223358910U,  // NMATCH_PPzZZ_B
6222
414k
    2713783742U,  // NMATCH_PPzZZ_H
6223
414k
    3223362135U,  // NORS_PPzPP
6224
414k
    3223361685U,  // NOR_PPzPP
6225
414k
    270572759U, // NOT_ZPmZ_B
6226
414k
    270589143U, // NOT_ZPmZ_D
6227
414k
    541138135U, // NOT_ZPmZ_H
6228
414k
    270621911U, // NOT_ZPmZ_S
6229
414k
    811703511U, // NOTv16i8
6230
414k
    824286423U, // NOTv8i8
6231
414k
    3223362048U,  // ORNS_PPzPP
6232
414k
    2118911U, // ORNWrs
6233
414k
    2118911U, // ORNXrs
6234
414k
    3223360767U,  // ORN_PPzPP
6235
414k
    811701503U, // ORNv16i8
6236
414k
    824284415U, // ORNv8i8
6237
414k
    3227623075U,  // ORQV_VPZ_B
6238
414k
    3231817379U,  // ORQV_VPZ_D
6239
414k
    3238108835U,  // ORQV_VPZ_H
6240
414k
    3236011683U,  // ORQV_VPZ_S
6241
414k
    3223362147U,  // ORRS_PPzPP
6242
414k
    2119846U, // ORRWri
6243
414k
    2119846U, // ORRWrs
6244
414k
    2119846U, // ORRXri
6245
414k
    2119846U, // ORRXrs
6246
414k
    3223361702U,  // ORR_PPzPP
6247
414k
    2418071718U,  // ORR_ZI
6248
414k
    3223361702U,  // ORR_ZPmZ_B
6249
414k
    3223378086U,  // ORR_ZPmZ_D
6250
414k
    3519092902U,  // ORR_ZPmZ_H
6251
414k
    3223410854U,  // ORR_ZPmZ_S
6252
414k
    2418071718U,  // ORR_ZZZ
6253
414k
    811702438U, // ORRv16i8
6254
414k
    1887574182U,  // ORRv2i32
6255
414k
    1891768486U,  // ORRv4i16
6256
414k
    1893865638U,  // ORRv4i32
6257
414k
    1895962790U,  // ORRv8i16
6258
414k
    824285350U, // ORRv8i8
6259
414k
    253634U,  // ORV_VPZ_B
6260
414k
    1657020098U,  // ORV_VPZ_D
6261
414k
    1659133634U,  // ORV_VPZ_H
6262
414k
    1638178498U,  // ORV_VPZ_S
6263
414k
    807715566U, // PACDA
6264
414k
    807716324U, // PACDB
6265
414k
    312491U,  // PACDZA
6266
414k
    313840U,  // PACDZB
6267
414k
    2114315U, // PACGA
6268
414k
    807715609U, // PACIA
6269
414k
    8773U,  // PACIA1716
6270
414k
    8690U,  // PACIA171615
6271
414k
    8738U,  // PACIASP
6272
414k
    10106U, // PACIASPPC
6273
414k
    8681U,  // PACIAZ
6274
414k
    807716359U, // PACIB
6275
414k
    8627U,  // PACIB1716
6276
414k
    8714U,  // PACIB171615
6277
414k
    8764U,  // PACIBSP
6278
414k
    10128U, // PACIBSPPC
6279
414k
    8747U,  // PACIBZ
6280
414k
    312507U,  // PACIZA
6281
414k
    313856U,  // PACIZB
6282
414k
    8799U,  // PACM
6283
414k
    10094U, // PACNBIASPPC
6284
414k
    10116U, // PACNBIBSPPC
6285
414k
    1168268688U,  // PEXT_2PCI_B
6286
414k
    1168285072U,  // PEXT_2PCI_D
6287
414k
    1168301456U,  // PEXT_2PCI_H
6288
414k
    1168317840U,  // PEXT_2PCI_S
6289
414k
    2149621136U,  // PEXT_PCI_B
6290
414k
    2149637520U,  // PEXT_PCI_D
6291
414k
    1168186768U,  // PEXT_PCI_H
6292
414k
    2149670288U,  // PEXT_PCI_S
6293
414k
    35883U, // PFALSE
6294
414k
    3223362839U,  // PFIRST_B
6295
414k
    3223363157U,  // PMOV_PZI_B
6296
414k
    3223379541U,  // PMOV_PZI_D
6297
414k
    1103175253U,  // PMOV_PZI_H
6298
414k
    3223412309U,  // PMOV_PZI_S
6299
414k
    2043649621U,  // PMOV_ZIP_B
6300
414k
    3654262357U,  // PMOV_ZIP_D
6301
414k
    701472341U, // PMOV_ZIP_H
6302
414k
    1238343253U,  // PMOV_ZIP_S
6303
414k
    270583571U, // PMULLB_ZZZ_D
6304
414k
    2197882643U,  // PMULLB_ZZZ_H
6305
414k
    166151955U, // PMULLB_ZZZ_Q
6306
414k
    270588855U, // PMULLT_ZZZ_D
6307
414k
    2197887927U,  // PMULLT_ZZZ_H
6308
414k
    166157239U, // PMULLT_ZZZ_Q
6309
414k
    822182218U, // PMULLv16i8
6310
414k
    2315358875U,  // PMULLv1i64
6311
414k
    2583789898U,  // PMULLv2i64
6312
414k
    822186651U, // PMULLv8i8
6313
414k
    2135021U, // PMUL_ZZZ_B
6314
414k
    811701229U, // PMULv16i8
6315
414k
    824284141U, // PMULv8i8
6316
414k
    3223362953U,  // PNEXT_B
6317
414k
    3223379337U,  // PNEXT_D
6318
414k
    2176916873U,  // PNEXT_H
6319
414k
    3223412105U,  // PNEXT_S
6320
414k
    2194736634U,  // PRFB_D_PZI
6321
414k
    2234582522U,  // PRFB_D_SCALED
6322
414k
    2234582522U,  // PRFB_D_SXTW_SCALED
6323
414k
    2234582522U,  // PRFB_D_UXTW_SCALED
6324
414k
    2234582522U,  // PRFB_PRI
6325
414k
    2234582522U,  // PRFB_PRR
6326
414k
    2175862266U,  // PRFB_S_PZI
6327
414k
    2234582522U,  // PRFB_S_SXTW_SCALED
6328
414k
    2234582522U,  // PRFB_S_UXTW_SCALED
6329
414k
    2194738025U,  // PRFD_D_PZI
6330
414k
    2234583913U,  // PRFD_D_SCALED
6331
414k
    2234583913U,  // PRFD_D_SXTW_SCALED
6332
414k
    2234583913U,  // PRFD_D_UXTW_SCALED
6333
414k
    2234583913U,  // PRFD_PRI
6334
414k
    2234583913U,  // PRFD_PRR
6335
414k
    2175863657U,  // PRFD_S_PZI
6336
414k
    2234583913U,  // PRFD_S_SXTW_SCALED
6337
414k
    2234583913U,  // PRFD_S_UXTW_SCALED
6338
414k
    2194738638U,  // PRFH_D_PZI
6339
414k
    2234584526U,  // PRFH_D_SCALED
6340
414k
    2234584526U,  // PRFH_D_SXTW_SCALED
6341
414k
    2234584526U,  // PRFH_D_UXTW_SCALED
6342
414k
    2234584526U,  // PRFH_PRI
6343
414k
    2234584526U,  // PRFH_PRR
6344
414k
    2175864270U,  // PRFH_S_PZI
6345
414k
    2234584526U,  // PRFH_S_SXTW_SCALED
6346
414k
    2234584526U,  // PRFH_S_UXTW_SCALED
6347
414k
    2150716477U,  // PRFMl
6348
414k
    45175869U,  // PRFMroW
6349
414k
    45175869U,  // PRFMroX
6350
414k
    45175869U,  // PRFMui
6351
414k
    45175945U,  // PRFUMi
6352
414k
    2194743161U,  // PRFW_D_PZI
6353
414k
    2234589049U,  // PRFW_D_SCALED
6354
414k
    2234589049U,  // PRFW_D_SXTW_SCALED
6355
414k
    2234589049U,  // PRFW_D_UXTW_SCALED
6356
414k
    2234589049U,  // PRFW_PRI
6357
414k
    2234589049U,  // PRFW_PRR
6358
414k
    2175868793U,  // PRFW_S_PZI
6359
414k
    2234589049U,  // PRFW_S_SXTW_SCALED
6360
414k
    2234589049U,  // PRFW_S_UXTW_SCALED
6361
414k
    3224343060U,  // PSEL_PPPRI_B
6362
414k
    3224343060U,  // PSEL_PPPRI_D
6363
414k
    3224343060U,  // PSEL_PPPRI_H
6364
414k
    3224343060U,  // PSEL_PPPRI_S
6365
414k
    3120393U, // PTEST_PP
6366
414k
    2954926507U,  // PTRUES_B
6367
414k
    2954942891U,  // PTRUES_D
6368
414k
    169941419U, // PTRUES_H
6369
414k
    2954975659U,  // PTRUES_S
6370
414k
    2954923077U,  // PTRUE_B
6371
414k
    1150021U, // PTRUE_C_B
6372
414k
    1166405U, // PTRUE_C_D
6373
414k
    1182789U, // PTRUE_C_H
6374
414k
    1199173U, // PTRUE_C_S
6375
414k
    2954939461U,  // PTRUE_D
6376
414k
    169937989U, // PTRUE_H
6377
414k
    2954972229U,  // PTRUE_S
6378
414k
    1661014028U,  // PUNPKHI_PP
6379
414k
    1661015405U,  // PUNPKLO_PP
6380
414k
    1881180077U,  // RADDHNB_ZZZ_B
6381
414k
    2172716973U,  // RADDHNB_ZZZ_H
6382
414k
    2418100141U,  // RADDHNB_ZZZ_S
6383
414k
    2686491694U,  // RADDHNT_ZZZ_B
6384
414k
    2174819374U,  // RADDHNT_ZZZ_H
6385
414k
    1075928110U,  // RADDHNT_ZZZ_S
6386
414k
    813798566U, // RADDHNv2i64_v2i32
6387
414k
    2967601577U,  // RADDHNv2i64_v4i32
6388
414k
    817992870U, // RADDHNv4i32_v4i16
6389
414k
    2969698729U,  // RADDHNv4i32_v8i16
6390
414k
    2959212969U,  // RADDHNv8i16_v16i8
6391
414k
    824284326U, // RADDHNv8i16_v8i8
6392
414k
    815890593U, // RAX1
6393
414k
    2418065569U,  // RAX1_ZZZ_D
6394
414k
    2120459U, // RBITWr
6395
414k
    2120459U, // RBITXr
6396
414k
    270572299U, // RBIT_ZPmZ_B
6397
414k
    270588683U, // RBIT_ZPmZ_D
6398
414k
    541137675U, // RBIT_ZPmZ_H
6399
414k
    270621451U, // RBIT_ZPmZ_S
6400
414k
    811703051U, // RBITv16i8
6401
414k
    824285963U, // RBITv8i8
6402
414k
    807721291U, // RCWCAS
6403
414k
    807715928U, // RCWCASA
6404
414k
    807719281U, // RCWCASAL
6405
414k
    807719799U, // RCWCASL
6406
414k
    415410U,  // RCWCASP
6407
414k
    410575U,  // RCWCASPA
6408
414k
    413950U,  // RCWCASPAL
6409
414k
    414471U,  // RCWCASPL
6410
414k
    2418333761U,  // RCWCLR
6411
414k
    2418328611U,  // RCWCLRA
6412
414k
    2418331987U,  // RCWCLRAL
6413
414k
    2418332508U,  // RCWCLRL
6414
414k
    271537823U, // RCWCLRP
6415
414k
    271532986U, // RCWCLRPA
6416
414k
    271536359U, // RCWCLRPAL
6417
414k
    271536882U, // RCWCLRPL
6418
414k
    2418333752U,  // RCWCLRS
6419
414k
    2418328601U,  // RCWCLRSA
6420
414k
    2418331976U,  // RCWCLRSAL
6421
414k
    2418332498U,  // RCWCLRSL
6422
414k
    271537813U, // RCWCLRSP
6423
414k
    271532975U, // RCWCLRSPA
6424
414k
    271536347U, // RCWCLRSPAL
6425
414k
    271536871U, // RCWCLRSPL
6426
414k
    807721282U, // RCWSCAS
6427
414k
    807715918U, // RCWSCASA
6428
414k
    807719270U, // RCWSCASAL
6429
414k
    807719789U, // RCWSCASL
6430
414k
    415400U,  // RCWSCASP
6431
414k
    410564U,  // RCWSCASPA
6432
414k
    413938U,  // RCWSCASPAL
6433
414k
    414460U,  // RCWSCASPL
6434
414k
    2418334437U,  // RCWSET
6435
414k
    2418328691U,  // RCWSETA
6436
414k
    2418332047U,  // RCWSETAL
6437
414k
    2418332605U,  // RCWSETL
6438
414k
    271537875U, // RCWSETP
6439
414k
    271533037U, // RCWSETPA
6440
414k
    271536415U, // RCWSETPAL
6441
414k
    271536941U, // RCWSETPL
6442
414k
    2418334428U,  // RCWSETS
6443
414k
    2418328681U,  // RCWSETSA
6444
414k
    2418332036U,  // RCWSETSAL
6445
414k
    2418332595U,  // RCWSETSL
6446
414k
    271537865U, // RCWSETSP
6447
414k
    271533026U, // RCWSETSPA
6448
414k
    271536403U, // RCWSETSPAL
6449
414k
    271536930U, // RCWSETSPL
6450
414k
    2418333438U,  // RCWSWP
6451
414k
    2418328577U,  // RCWSWPA
6452
414k
    2418331957U,  // RCWSWPAL
6453
414k
    2418332481U,  // RCWSWPL
6454
414k
    271537784U, // RCWSWPP
6455
414k
    271532956U, // RCWSWPPA
6456
414k
    271536326U, // RCWSWPPAL
6457
414k
    271536852U, // RCWSWPPL
6458
414k
    2418333429U,  // RCWSWPS
6459
414k
    2418328567U,  // RCWSWPSA
6460
414k
    2418331946U,  // RCWSWPSAL
6461
414k
    2418332471U,  // RCWSWPSL
6462
414k
    271537774U, // RCWSWPSP
6463
414k
    271532945U, // RCWSWPSPA
6464
414k
    271536314U, // RCWSWPSPAL
6465
414k
    271536841U, // RCWSWPSPL
6466
414k
    3223362116U,  // RDFFRS_PPz
6467
414k
    3223361524U,  // RDFFR_PPz_REAL
6468
414k
    38900U, // RDFFR_P_REAL
6469
414k
    2118672U, // RDSVLI_XI
6470
414k
    2118658U, // RDVLI_XI
6471
414k
    23248U, // RET
6472
414k
    10078U, // RETAA
6473
414k
    330300U,  // RETAASPPCi
6474
414k
    19004U, // RETAASPPCr
6475
414k
    10085U, // RETAB
6476
414k
    330322U,  // RETABSPPCi
6477
414k
    19026U, // RETABSPPCr
6478
414k
    2114222U, // REV16Wr
6479
414k
    2114222U, // REV16Xr
6480
414k
    811696814U, // REV16v16i8
6481
414k
    824279726U, // REV16v8i8
6482
414k
    2113703U, // REV32Xr
6483
414k
    811696295U, // REV32v16i8
6484
414k
    817987751U, // REV32v4i16
6485
414k
    822182055U, // REV32v8i16
6486
414k
    824279207U, // REV32v8i8
6487
414k
    811696782U, // REV64v16i8
6488
414k
    813793934U, // REV64v2i32
6489
414k
    817988238U, // REV64v4i16
6490
414k
    820085390U, // REV64v4i32
6491
414k
    822182542U, // REV64v8i16
6492
414k
    824279694U, // REV64v8i8
6493
414k
    270584248U, // REVB_ZPmZ_D
6494
414k
    541133240U, // REVB_ZPmZ_H
6495
414k
    270617016U, // REVB_ZPmZ_S
6496
414k
    2689026974U,  // REVD_ZPmZ
6497
414k
    270585828U, // REVH_ZPmZ_D
6498
414k
    270618596U, // REVH_ZPmZ_S
6499
414k
    270589955U, // REVW_ZPmZ_D
6500
414k
    2121210U, // REVWr
6501
414k
    2121210U, // REVXr
6502
414k
    2137594U, // REV_PP_B
6503
414k
    2418073082U,  // REV_PP_D
6504
414k
    1652628986U,  // REV_PP_H
6505
414k
    270622202U, // REV_PP_S
6506
414k
    2137594U, // REV_ZZ_B
6507
414k
    2418073082U,  // REV_ZZ_D
6508
414k
    1652628986U,  // REV_ZZ_H
6509
414k
    270622202U, // REV_ZZ_S
6510
414k
    2116694U, // RMIF
6511
414k
    2119834U, // RORVWr
6512
414k
    2119834U, // RORVXr
6513
414k
    1217596U, // RPRFM
6514
414k
    1881180124U,  // RSHRNB_ZZI_B
6515
414k
    2172717020U,  // RSHRNB_ZZI_H
6516
414k
    2418100188U,  // RSHRNB_ZZI_S
6517
414k
    2686491729U,  // RSHRNT_ZZI_B
6518
414k
    2174819409U,  // RSHRNT_ZZI_H
6519
414k
    1075928145U,  // RSHRNT_ZZI_S
6520
414k
    2959212998U,  // RSHRNv16i8_shift
6521
414k
    813798639U, // RSHRNv2i32_shift
6522
414k
    817992943U, // RSHRNv4i16_shift
6523
414k
    2967601606U,  // RSHRNv4i32_shift
6524
414k
    2969698758U,  // RSHRNv8i16_shift
6525
414k
    824284399U, // RSHRNv8i8_shift
6526
414k
    1881180068U,  // RSUBHNB_ZZZ_B
6527
414k
    2172716964U,  // RSUBHNB_ZZZ_H
6528
414k
    2418100132U,  // RSUBHNB_ZZZ_S
6529
414k
    2686491685U,  // RSUBHNT_ZZZ_B
6530
414k
    2174819365U,  // RSUBHNT_ZZZ_H
6531
414k
    1075928101U,  // RSUBHNT_ZZZ_S
6532
414k
    813798558U, // RSUBHNv2i64_v2i32
6533
414k
    2967601568U,  // RSUBHNv2i64_v4i32
6534
414k
    817992862U, // RSUBHNv4i32_v4i16
6535
414k
    2969698720U,  // RSUBHNv4i32_v8i16
6536
414k
    2959212960U,  // RSUBHNv8i16_v16i8
6537
414k
    824284318U, // RSUBHNv8i16_v8i8
6538
414k
    1344325147U,  // SABALB_ZZZ_D
6539
414k
    2220951067U,  // SABALB_ZZZ_H
6540
414k
    2686535195U,  // SABALB_ZZZ_S
6541
414k
    1344330526U,  // SABALT_ZZZ_D
6542
414k
    2220956446U,  // SABALT_ZZZ_H
6543
414k
    2686540574U,  // SABALT_ZZZ_S
6544
414k
    2969698510U,  // SABALv16i8_v8i16
6545
414k
    2963411056U,  // SABALv2i32_v2i64
6546
414k
    2967605360U,  // SABALv4i16_v4i32
6547
414k
    2963407054U,  // SABALv4i32_v2i64
6548
414k
    2967601358U,  // SABALv8i16_v4i32
6549
414k
    2969702512U,  // SABALv8i8_v8i16
6550
414k
    2418049762U,  // SABA_ZZZ_B
6551
414k
    1075888866U,  // SABA_ZZZ_D
6552
414k
    2195784418U,  // SABA_ZZZ_H
6553
414k
    1344357090U,  // SABA_ZZZ_S
6554
414k
    2959213282U,  // SABAv16i8
6555
414k
    2961310434U,  // SABAv2i32
6556
414k
    2965504738U,  // SABAv4i16
6557
414k
    2967601890U,  // SABAv4i32
6558
414k
    2969699042U,  // SABAv8i16
6559
414k
    2971796194U,  // SABAv8i8
6560
414k
    270583504U, // SABDLB_ZZZ_D
6561
414k
    2197882576U,  // SABDLB_ZZZ_H
6562
414k
    1881229008U,  // SABDLB_ZZZ_S
6563
414k
    270588783U, // SABDLT_ZZZ_D
6564
414k
    2197887855U,  // SABDLT_ZZZ_H
6565
414k
    1881234287U,  // SABDLT_ZZZ_S
6566
414k
    822182160U, // SABDLv16i8_v8i16
6567
414k
    815894992U, // SABDLv2i32_v2i64
6568
414k
    820089296U, // SABDLv4i16_v4i32
6569
414k
    815890704U, // SABDLv4i32_v2i64
6570
414k
    820085008U, // SABDLv8i16_v4i32
6571
414k
    822186448U, // SABDLv8i8_v8i16
6572
414k
    3223358172U,  // SABD_ZPmZ_B
6573
414k
    3223374556U,  // SABD_ZPmZ_D
6574
414k
    3519089372U,  // SABD_ZPmZ_H
6575
414k
    3223407324U,  // SABD_ZPmZ_S
6576
414k
    811698908U, // SABDv16i8
6577
414k
    813796060U, // SABDv2i32
6578
414k
    817990364U, // SABDv4i16
6579
414k
    820087516U, // SABDv4i32
6580
414k
    822184668U, // SABDv8i16
6581
414k
    824281820U, // SABDv8i8
6582
414k
    3223377382U,  // SADALP_ZPmZ_D
6583
414k
    3519092198U,  // SADALP_ZPmZ_H
6584
414k
    3223410150U,  // SADALP_ZPmZ_S
6585
414k
    2969703910U,  // SADALPv16i8_v8i16
6586
414k
    3124893158U,  // SADALPv2i32_v1i64
6587
414k
    2961315302U,  // SADALPv4i16_v2i32
6588
414k
    2963412454U,  // SADALPv4i32_v2i64
6589
414k
    2967606758U,  // SADALPv8i16_v4i32
6590
414k
    2965509606U,  // SADALPv8i8_v4i16
6591
414k
    270588572U, // SADDLBT_ZZZ_D
6592
414k
    2197887644U,  // SADDLBT_ZZZ_H
6593
414k
    1881234076U,  // SADDLBT_ZZZ_S
6594
414k
    270583529U, // SADDLB_ZZZ_D
6595
414k
    2197882601U,  // SADDLB_ZZZ_H
6596
414k
    1881229033U,  // SADDLB_ZZZ_S
6597
414k
    822187510U, // SADDLPv16i8_v8i16
6598
414k
    977376758U, // SADDLPv2i32_v1i64
6599
414k
    813798902U, // SADDLPv4i16_v2i32
6600
414k
    815896054U, // SADDLPv4i32_v2i64
6601
414k
    820090358U, // SADDLPv8i16_v4i32
6602
414k
    817993206U, // SADDLPv8i8_v4i16
6603
414k
    270588799U, // SADDLT_ZZZ_D
6604
414k
    2197887871U,  // SADDLT_ZZZ_H
6605
414k
    1881234303U,  // SADDLT_ZZZ_S
6606
414k
    807427601U, // SADDLVv16i8v
6607
414k
    807427601U, // SADDLVv4i16v
6608
414k
    807427601U, // SADDLVv4i32v
6609
414k
    807427601U, // SADDLVv8i16v
6610
414k
    807427601U, // SADDLVv8i8v
6611
414k
    822182176U, // SADDLv16i8_v8i16
6612
414k
    815895030U, // SADDLv2i32_v2i64
6613
414k
    820089334U, // SADDLv4i16_v4i32
6614
414k
    815890720U, // SADDLv4i32_v2i64
6615
414k
    820085024U, // SADDLv8i16_v4i32
6616
414k
    822186486U, // SADDLv8i8_v8i16
6617
414k
    1684282854U,  // SADDV_VPZ_B
6618
414k
    1659117030U,  // SADDV_VPZ_H
6619
414k
    1638145510U,  // SADDV_VPZ_S
6620
414k
    2418067918U,  // SADDWB_ZZZ_D
6621
414k
    2189494734U,  // SADDWB_ZZZ_H
6622
414k
    270617038U, // SADDWB_ZZZ_S
6623
414k
    2418072947U,  // SADDWT_ZZZ_D
6624
414k
    2189499763U,  // SADDWT_ZZZ_H
6625
414k
    270622067U, // SADDWT_ZZZ_S
6626
414k
    822182492U, // SADDWv16i8_v8i16
6627
414k
    815898475U, // SADDWv2i32_v2i64
6628
414k
    820092779U, // SADDWv4i16_v4i32
6629
414k
    815891036U, // SADDWv4i32_v2i64
6630
414k
    820085340U, // SADDWv8i16_v4i32
6631
414k
    822189931U, // SADDWv8i8_v8i16
6632
414k
    10091U, // SB
6633
414k
    1075889858U,  // SBCLB_ZZZ_D
6634
414k
    1344358082U,  // SBCLB_ZZZ_S
6635
414k
    1075895137U,  // SBCLT_ZZZ_D
6636
414k
    1344363361U,  // SBCLT_ZZZ_S
6637
414k
    2120076U, // SBCSWr
6638
414k
    2120076U, // SBCSXr
6639
414k
    2116119U, // SBCWr
6640
414k
    2116119U, // SBCXr
6641
414k
    2118704U, // SBFMWri
6642
414k
    2118704U, // SBFMXri
6643
414k
    2221037078U,  // SCLAMP_VG2_2Z2Z_B
6644
414k
    2193790486U,  // SCLAMP_VG2_2Z2Z_D
6645
414k
    2195904022U,  // SCLAMP_VG2_2Z2Z_H
6646
414k
    2174948886U,  // SCLAMP_VG2_2Z2Z_S
6647
414k
    2221037078U,  // SCLAMP_VG4_4Z4Z_B
6648
414k
    2193790486U,  // SCLAMP_VG4_4Z4Z_D
6649
414k
    2195904022U,  // SCLAMP_VG4_4Z4Z_H
6650
414k
    2174948886U,  // SCLAMP_VG4_4Z4Z_S
6651
414k
    2418054678U,  // SCLAMP_ZZZ_B
6652
414k
    1075893782U,  // SCLAMP_ZZZ_D
6653
414k
    2195789334U,  // SCLAMP_ZZZ_H
6654
414k
    1344362006U,  // SCLAMP_ZZZ_S
6655
414k
    2116700U, // SCVTFSWDri
6656
414k
    2116700U, // SCVTFSWHri
6657
414k
    2116700U, // SCVTFSWSri
6658
414k
    2116700U, // SCVTFSXDri
6659
414k
    2116700U, // SCVTFSXHri
6660
414k
    2116700U, // SCVTFSXSri
6661
414k
    2116700U, // SCVTFUWDri
6662
414k
    2116700U, // SCVTFUWHri
6663
414k
    2116700U, // SCVTFUWSri
6664
414k
    2116700U, // SCVTFUXDri
6665
414k
    2116700U, // SCVTFUXHri
6666
414k
    2116700U, // SCVTFUXSri
6667
414k
    1648561244U,  // SCVTF_2Z2Z_StoS
6668
414k
    1648561244U,  // SCVTF_4Z4Z_StoS
6669
414k
    270584924U, // SCVTF_ZPmZ_DtoD
6670
414k
    3493923932U,  // SCVTF_ZPmZ_DtoH
6671
414k
    270617692U, // SCVTF_ZPmZ_DtoS
6672
414k
    541133916U, // SCVTF_ZPmZ_HtoH
6673
414k
    270584924U, // SCVTF_ZPmZ_StoD
6674
414k
    1078004828U,  // SCVTF_ZPmZ_StoH
6675
414k
    270617692U, // SCVTF_ZPmZ_StoS
6676
414k
    2116700U, // SCVTFd
6677
414k
    2116700U, // SCVTFh
6678
414k
    2116700U, // SCVTFs
6679
414k
    2116700U, // SCVTFv1i16
6680
414k
    2116700U, // SCVTFv1i32
6681
414k
    2116700U, // SCVTFv1i64
6682
414k
    813796444U, // SCVTFv2f32
6683
414k
    815893596U, // SCVTFv2f64
6684
414k
    813796444U, // SCVTFv2i32_shift
6685
414k
    815893596U, // SCVTFv2i64_shift
6686
414k
    817990748U, // SCVTFv4f16
6687
414k
    820087900U, // SCVTFv4f32
6688
414k
    817990748U, // SCVTFv4i16_shift
6689
414k
    820087900U, // SCVTFv4i32_shift
6690
414k
    822185052U, // SCVTFv8f16
6691
414k
    822185052U, // SCVTFv8i16_shift
6692
414k
    3223378193U,  // SDIVR_ZPmZ_D
6693
414k
    3223410961U,  // SDIVR_ZPmZ_S
6694
414k
    2121221U, // SDIVWr
6695
414k
    2121221U, // SDIVXr
6696
414k
    3223379461U,  // SDIV_ZPmZ_D
6697
414k
    3223412229U,  // SDIV_ZPmZ_S
6698
414k
    3798178993U,  // SDOT_VG2_M2Z2Z_BtoS
6699
414k
    3798162609U,  // SDOT_VG2_M2Z2Z_HtoD
6700
414k
    3798178993U,  // SDOT_VG2_M2Z2Z_HtoS
6701
414k
    3798178993U,  // SDOT_VG2_M2ZZI_BToS
6702
414k
    3798178993U,  // SDOT_VG2_M2ZZI_HToS
6703
414k
    3798162609U,  // SDOT_VG2_M2ZZI_HtoD
6704
414k
    3798178993U,  // SDOT_VG2_M2ZZ_BtoS
6705
414k
    3798162609U,  // SDOT_VG2_M2ZZ_HtoD
6706
414k
    3798178993U,  // SDOT_VG2_M2ZZ_HtoS
6707
414k
    4066614449U,  // SDOT_VG4_M4Z4Z_BtoS
6708
414k
    4066598065U,  // SDOT_VG4_M4Z4Z_HtoD
6709
414k
    4066614449U,  // SDOT_VG4_M4Z4Z_HtoS
6710
414k
    4066614449U,  // SDOT_VG4_M4ZZI_BToS
6711
414k
    4066614449U,  // SDOT_VG4_M4ZZI_HToS
6712
414k
    4066598065U,  // SDOT_VG4_M4ZZI_HtoD
6713
414k
    4066614449U,  // SDOT_VG4_M4ZZ_BtoS
6714
414k
    4066598065U,  // SDOT_VG4_M4ZZ_HtoD
6715
414k
    4066614449U,  // SDOT_VG4_M4ZZ_HtoS
6716
414k
    2686508209U,  // SDOT_ZZZI_D
6717
414k
    2686540977U,  // SDOT_ZZZI_HtoS
6718
414k
    2418105521U,  // SDOT_ZZZI_S
6719
414k
    2686508209U,  // SDOT_ZZZ_D
6720
414k
    2686540977U,  // SDOT_ZZZ_HtoS
6721
414k
    2418105521U,  // SDOT_ZZZ_S
6722
414k
    2967608497U,  // SDOTlanev16i8
6723
414k
    2961317041U,  // SDOTlanev8i8
6724
414k
    2967608497U,  // SDOTv16i8
6725
414k
    2961317041U,  // SDOTv8i8
6726
414k
    3223360015U,  // SEL_PPPP
6727
414k
    2242007567U,  // SEL_VG2_2ZC2Z2Z_B
6728
414k
    2242023951U,  // SEL_VG2_2ZC2Z2Z_D
6729
414k
    2242040335U,  // SEL_VG2_2ZC2Z2Z_H
6730
414k
    2242056719U,  // SEL_VG2_2ZC2Z2Z_S
6731
414k
    2242007567U,  // SEL_VG4_4ZC4Z4Z_B
6732
414k
    2242023951U,  // SEL_VG4_4ZC4Z4Z_D
6733
414k
    2242040335U,  // SEL_VG4_4ZC4Z4Z_H
6734
414k
    2242056719U,  // SEL_VG4_4ZC4Z4Z_S
6735
414k
    3223360015U,  // SEL_ZPZZ_B
6736
414k
    3223376399U,  // SEL_ZPZZ_D
6737
414k
    2176913935U,  // SEL_ZPZZ_H
6738
414k
    3223409167U,  // SEL_ZPZZ_S
6739
414k
    145711841U, // SETE
6740
414k
    145711903U, // SETEN
6741
414k
    145712791U, // SETET
6742
414k
    145712265U, // SETETN
6743
414k
    17062U, // SETF16
6744
414k
    17077U, // SETF8
6745
414k
    10183U, // SETFFR
6746
414k
    145711863U, // SETGM
6747
414k
    145711928U, // SETGMN
6748
414k
    145712816U, // SETGMT
6749
414k
    145712293U, // SETGMTN
6750
414k
    145712751U, // SETGP
6751
414k
    145711962U, // SETGPN
6752
414k
    145712850U, // SETGPT
6753
414k
    145712331U, // SETGPTN
6754
414k
    145711871U, // SETM
6755
414k
    145711937U, // SETMN
6756
414k
    145712825U, // SETMT
6757
414k
    145712303U, // SETMTN
6758
414k
    145712759U, // SETP
6759
414k
    145711971U, // SETPN
6760
414k
    145712859U, // SETPT
6761
414k
    145712341U, // SETPTN
6762
414k
    807717392U, // SHA1Crrr
6763
414k
    2116789U, // SHA1Hrr
6764
414k
    807719977U, // SHA1Mrrr
6765
414k
    807720348U, // SHA1Prrr
6766
414k
    2967601153U,  // SHA1SU0rrr
6767
414k
    2967601271U,  // SHA1SU1rr
6768
414k
    807715005U, // SHA256H2rrr
6769
414k
    807718173U, // SHA256Hrrr
6770
414k
    2967601173U,  // SHA256SU0rr
6771
414k
    2967601291U,  // SHA256SU1rrr
6772
414k
    807718120U, // SHA512H
6773
414k
    807714995U, // SHA512H2
6774
414k
    2963406858U,  // SHA512SU0
6775
414k
    2963406976U,  // SHA512SU1
6776
414k
    3223358268U,  // SHADD_ZPmZ_B
6777
414k
    3223374652U,  // SHADD_ZPmZ_D
6778
414k
    3519089468U,  // SHADD_ZPmZ_H
6779
414k
    3223407420U,  // SHADD_ZPmZ_S
6780
414k
    811699004U, // SHADDv16i8
6781
414k
    813796156U, // SHADDv2i32
6782
414k
    817990460U, // SHADDv4i16
6783
414k
    820087612U, // SHADDv4i32
6784
414k
    822184764U, // SHADDv8i16
6785
414k
    824281916U, // SHADDv8i8
6786
414k
    822182193U, // SHLLv16i8
6787
414k
    815895157U, // SHLLv2i32
6788
414k
    820089461U, // SHLLv4i16
6789
414k
    815890737U, // SHLLv4i32
6790
414k
    820085041U, // SHLLv8i16
6791
414k
    822186613U, // SHLLv8i8
6792
414k
    2118180U, // SHLd
6793
414k
    811700772U, // SHLv16i8_shift
6794
414k
    813797924U, // SHLv2i32_shift
6795
414k
    815895076U, // SHLv2i64_shift
6796
414k
    817992228U, // SHLv4i16_shift
6797
414k
    820089380U, // SHLv4i32_shift
6798
414k
    822186532U, // SHLv8i16_shift
6799
414k
    824283684U, // SHLv8i8_shift
6800
414k
    1881180106U,  // SHRNB_ZZI_B
6801
414k
    2172717002U,  // SHRNB_ZZI_H
6802
414k
    2418100170U,  // SHRNB_ZZI_S
6803
414k
    2686491711U,  // SHRNT_ZZI_B
6804
414k
    2174819391U,  // SHRNT_ZZI_H
6805
414k
    1075928127U,  // SHRNT_ZZI_S
6806
414k
    2959212980U,  // SHRNv16i8_shift
6807
414k
    813798623U, // SHRNv2i32_shift
6808
414k
    817992927U, // SHRNv4i16_shift
6809
414k
    2967601588U,  // SHRNv4i32_shift
6810
414k
    2969698740U,  // SHRNv8i16_shift
6811
414k
    824284383U, // SHRNv8i8_shift
6812
414k
    3223361482U,  // SHSUBR_ZPmZ_B
6813
414k
    3223377866U,  // SHSUBR_ZPmZ_D
6814
414k
    3519092682U,  // SHSUBR_ZPmZ_H
6815
414k
    3223410634U,  // SHSUBR_ZPmZ_S
6816
414k
    3223357837U,  // SHSUB_ZPmZ_B
6817
414k
    3223374221U,  // SHSUB_ZPmZ_D
6818
414k
    3519089037U,  // SHSUB_ZPmZ_H
6819
414k
    3223406989U,  // SHSUB_ZPmZ_S
6820
414k
    811698573U, // SHSUBv16i8
6821
414k
    813795725U, // SHSUBv2i32
6822
414k
    817990029U, // SHSUBv4i16
6823
414k
    820087181U, // SHSUBv4i32
6824
414k
    822184333U, // SHSUBv8i16
6825
414k
    824281485U, // SHSUBv8i8
6826
414k
    2418053172U,  // SLI_ZZI_B
6827
414k
    1075892276U,  // SLI_ZZI_D
6828
414k
    2195787828U,  // SLI_ZZI_H
6829
414k
    1344360500U,  // SLI_ZZI_S
6830
414k
    807718964U, // SLId
6831
414k
    2959216692U,  // SLIv16i8_shift
6832
414k
    2961313844U,  // SLIv2i32_shift
6833
414k
    2963410996U,  // SLIv2i64_shift
6834
414k
    2965508148U,  // SLIv4i16_shift
6835
414k
    2967605300U,  // SLIv4i32_shift
6836
414k
    2969702452U,  // SLIv8i16_shift
6837
414k
    2971799604U,  // SLIv8i8_shift
6838
414k
    2967601302U,  // SM3PARTW1
6839
414k
    2967601772U,  // SM3PARTW2
6840
414k
    820084834U, // SM3SS1
6841
414k
    2967601852U,  // SM3TT1A
6842
414k
    2967602423U,  // SM3TT1B
6843
414k
    2967601861U,  // SM3TT2A
6844
414k
    2967602452U,  // SM3TT2B
6845
414k
    2967604132U,  // SM4E
6846
414k
    270622860U, // SM4EKEY_ZZZ_S
6847
414k
    820093068U, // SM4ENCKEY
6848
414k
    270617508U, // SM4E_ZZZ_S
6849
414k
    2118118U, // SMADDLrrr
6850
414k
    3223361300U,  // SMAXP_ZPmZ_B
6851
414k
    3223377684U,  // SMAXP_ZPmZ_D
6852
414k
    3519092500U,  // SMAXP_ZPmZ_H
6853
414k
    3223410452U,  // SMAXP_ZPmZ_S
6854
414k
    811702036U, // SMAXPv16i8
6855
414k
    813799188U, // SMAXPv2i32
6856
414k
    817993492U, // SMAXPv4i16
6857
414k
    820090644U, // SMAXPv4i32
6858
414k
    822187796U, // SMAXPv8i16
6859
414k
    824284948U, // SMAXPv8i8
6860
414k
    3227623089U,  // SMAXQV_VPZ_B
6861
414k
    3231817393U,  // SMAXQV_VPZ_D
6862
414k
    3238108849U,  // SMAXQV_VPZ_H
6863
414k
    3236011697U,  // SMAXQV_VPZ_S
6864
414k
    253646U,  // SMAXV_VPZ_B
6865
414k
    1657020110U,  // SMAXV_VPZ_D
6866
414k
    1659133646U,  // SMAXV_VPZ_H
6867
414k
    1638178510U,  // SMAXV_VPZ_S
6868
414k
    807427790U, // SMAXVv16i8v
6869
414k
    807427790U, // SMAXVv4i16v
6870
414k
    807427790U, // SMAXVv4i32v
6871
414k
    807427790U, // SMAXVv8i16v
6872
414k
    807427790U, // SMAXVv8i8v
6873
414k
    2121787U, // SMAXWri
6874
414k
    2121787U, // SMAXWrr
6875
414k
    2121787U, // SMAXXri
6876
414k
    2121787U, // SMAXXrr
6877
414k
    2179096635U,  // SMAX_VG2_2Z2Z_B
6878
414k
    2181210171U,  // SMAX_VG2_2Z2Z_D
6879
414k
    2183323707U,  // SMAX_VG2_2Z2Z_H
6880
414k
    2185437243U,  // SMAX_VG2_2Z2Z_S
6881
414k
    2179096635U,  // SMAX_VG2_2ZZ_B
6882
414k
    2181210171U,  // SMAX_VG2_2ZZ_D
6883
414k
    2183323707U,  // SMAX_VG2_2ZZ_H
6884
414k
    2185437243U,  // SMAX_VG2_2ZZ_S
6885
414k
    2179096635U,  // SMAX_VG4_4Z4Z_B
6886
414k
    2181210171U,  // SMAX_VG4_4Z4Z_D
6887
414k
    2183323707U,  // SMAX_VG4_4Z4Z_H
6888
414k
    2185437243U,  // SMAX_VG4_4Z4Z_S
6889
414k
    2179096635U,  // SMAX_VG4_4ZZ_B
6890
414k
    2181210171U,  // SMAX_VG4_4ZZ_D
6891
414k
    2183323707U,  // SMAX_VG4_4ZZ_H
6892
414k
    2185437243U,  // SMAX_VG4_4ZZ_S
6893
414k
    2138171U, // SMAX_ZI_B
6894
414k
    2418073659U,  // SMAX_ZI_D
6895
414k
    2189500475U,  // SMAX_ZI_H
6896
414k
    270622779U, // SMAX_ZI_S
6897
414k
    3223363643U,  // SMAX_ZPmZ_B
6898
414k
    3223380027U,  // SMAX_ZPmZ_D
6899
414k
    3519094843U,  // SMAX_ZPmZ_H
6900
414k
    3223412795U,  // SMAX_ZPmZ_S
6901
414k
    811704379U, // SMAXv16i8
6902
414k
    813801531U, // SMAXv2i32
6903
414k
    817995835U, // SMAXv4i16
6904
414k
    820092987U, // SMAXv4i32
6905
414k
    822190139U, // SMAXv8i16
6906
414k
    824287291U, // SMAXv8i8
6907
414k
    379440U,  // SMC
6908
414k
    3223361106U,  // SMINP_ZPmZ_B
6909
414k
    3223377490U,  // SMINP_ZPmZ_D
6910
414k
    3519092306U,  // SMINP_ZPmZ_H
6911
414k
    3223410258U,  // SMINP_ZPmZ_S
6912
414k
    811701842U, // SMINPv16i8
6913
414k
    813798994U, // SMINPv2i32
6914
414k
    817993298U, // SMINPv4i16
6915
414k
    820090450U, // SMINPv4i32
6916
414k
    822187602U, // SMINPv8i16
6917
414k
    824284754U, // SMINPv8i8
6918
414k
    3227623058U,  // SMINQV_VPZ_B
6919
414k
    3231817362U,  // SMINQV_VPZ_D
6920
414k
    3238108818U,  // SMINQV_VPZ_H
6921
414k
    3236011666U,  // SMINQV_VPZ_S
6922
414k
    253498U,  // SMINV_VPZ_B
6923
414k
    1657019962U,  // SMINV_VPZ_D
6924
414k
    1659133498U,  // SMINV_VPZ_H
6925
414k
    1638178362U,  // SMINV_VPZ_S
6926
414k
    807427642U, // SMINVv16i8v
6927
414k
    807427642U, // SMINVv4i16v
6928
414k
    807427642U, // SMINVv4i32v
6929
414k
    807427642U, // SMINVv8i16v
6930
414k
    807427642U, // SMINVv8i8v
6931
414k
    2118846U, // SMINWri
6932
414k
    2118846U, // SMINWrr
6933
414k
    2118846U, // SMINXri
6934
414k
    2118846U, // SMINXrr
6935
414k
    2179093694U,  // SMIN_VG2_2Z2Z_B
6936
414k
    2181207230U,  // SMIN_VG2_2Z2Z_D
6937
414k
    2183320766U,  // SMIN_VG2_2Z2Z_H
6938
414k
    2185434302U,  // SMIN_VG2_2Z2Z_S
6939
414k
    2179093694U,  // SMIN_VG2_2ZZ_B
6940
414k
    2181207230U,  // SMIN_VG2_2ZZ_D
6941
414k
    2183320766U,  // SMIN_VG2_2ZZ_H
6942
414k
    2185434302U,  // SMIN_VG2_2ZZ_S
6943
414k
    2179093694U,  // SMIN_VG4_4Z4Z_B
6944
414k
    2181207230U,  // SMIN_VG4_4Z4Z_D
6945
414k
    2183320766U,  // SMIN_VG4_4Z4Z_H
6946
414k
    2185434302U,  // SMIN_VG4_4Z4Z_S
6947
414k
    2179093694U,  // SMIN_VG4_4ZZ_B
6948
414k
    2181207230U,  // SMIN_VG4_4ZZ_D
6949
414k
    2183320766U,  // SMIN_VG4_4ZZ_H
6950
414k
    2185434302U,  // SMIN_VG4_4ZZ_S
6951
414k
    2135230U, // SMIN_ZI_B
6952
414k
    2418070718U,  // SMIN_ZI_D
6953
414k
    2189497534U,  // SMIN_ZI_H
6954
414k
    270619838U, // SMIN_ZI_S
6955
414k
    3223360702U,  // SMIN_ZPmZ_B
6956
414k
    3223377086U,  // SMIN_ZPmZ_D
6957
414k
    3519091902U,  // SMIN_ZPmZ_H
6958
414k
    3223409854U,  // SMIN_ZPmZ_S
6959
414k
    811701438U, // SMINv16i8
6960
414k
    813798590U, // SMINv2i32
6961
414k
    817992894U, // SMINv4i16
6962
414k
    820090046U, // SMINv4i32
6963
414k
    822187198U, // SMINv8i16
6964
414k
    824284350U, // SMINv8i8
6965
414k
    1344325192U,  // SMLALB_ZZZI_D
6966
414k
    2686535240U,  // SMLALB_ZZZI_S
6967
414k
    1344325192U,  // SMLALB_ZZZ_D
6968
414k
    2220951112U,  // SMLALB_ZZZ_H
6969
414k
    2686535240U,  // SMLALB_ZZZ_S
6970
414k
    1688441443U,  // SMLALL_MZZI_BtoS
6971
414k
    1688425059U,  // SMLALL_MZZI_HtoD
6972
414k
    1688441443U,  // SMLALL_MZZ_BtoS
6973
414k
    1688425059U,  // SMLALL_MZZ_HtoD
6974
414k
    3835925091U,  // SMLALL_VG2_M2Z2Z_BtoS
6975
414k
    3835908707U,  // SMLALL_VG2_M2Z2Z_HtoD
6976
414k
    3835925091U,  // SMLALL_VG2_M2ZZI_BtoS
6977
414k
    3835908707U,  // SMLALL_VG2_M2ZZI_HtoD
6978
414k
    4104360547U,  // SMLALL_VG2_M2ZZ_BtoS
6979
414k
    4104344163U,  // SMLALL_VG2_M2ZZ_HtoD
6980
414k
    4104360547U,  // SMLALL_VG4_M4Z4Z_BtoS
6981
414k
    4104344163U,  // SMLALL_VG4_M4Z4Z_HtoD
6982
414k
    4104360547U,  // SMLALL_VG4_M4ZZI_BtoS
6983
414k
    4104344163U,  // SMLALL_VG4_M4ZZI_HtoD
6984
414k
    77828707U,  // SMLALL_VG4_M4ZZ_BtoS
6985
414k
    77812323U,  // SMLALL_VG4_M4ZZ_HtoD
6986
414k
    1344330561U,  // SMLALT_ZZZI_D
6987
414k
    2686540609U,  // SMLALT_ZZZI_S
6988
414k
    1344330561U,  // SMLALT_ZZZ_D
6989
414k
    2220956481U,  // SMLALT_ZZZ_H
6990
414k
    2686540609U,  // SMLALT_ZZZ_S
6991
414k
    1663275160U,  // SMLAL_MZZI_HtoS
6992
414k
    1663275160U,  // SMLAL_MZZ_HtoS
6993
414k
    3810758808U,  // SMLAL_VG2_M2Z2Z_HtoS
6994
414k
    3810758808U,  // SMLAL_VG2_M2ZZI_S
6995
414k
    3810758808U,  // SMLAL_VG2_M2ZZ_HtoS
6996
414k
    4079194264U,  // SMLAL_VG4_M4Z4Z_HtoS
6997
414k
    4079194264U,  // SMLAL_VG4_M4ZZI_HtoS
6998
414k
    4079194264U,  // SMLAL_VG4_M4ZZ_HtoS
6999
414k
    2969698544U,  // SMLALv16i8_v8i16
7000
414k
    2963411096U,  // SMLALv2i32_indexed
7001
414k
    2963411096U,  // SMLALv2i32_v2i64
7002
414k
    2967605400U,  // SMLALv4i16_indexed
7003
414k
    2967605400U,  // SMLALv4i16_v4i32
7004
414k
    2963407088U,  // SMLALv4i32_indexed
7005
414k
    2963407088U,  // SMLALv4i32_v2i64
7006
414k
    2967601392U,  // SMLALv8i16_indexed
7007
414k
    2967601392U,  // SMLALv8i16_v4i32
7008
414k
    2969702552U,  // SMLALv8i8_v8i16
7009
414k
    1344325490U,  // SMLSLB_ZZZI_D
7010
414k
    2686535538U,  // SMLSLB_ZZZI_S
7011
414k
    1344325490U,  // SMLSLB_ZZZ_D
7012
414k
    2220951410U,  // SMLSLB_ZZZ_H
7013
414k
    2686535538U,  // SMLSLB_ZZZ_S
7014
414k
    1688441474U,  // SMLSLL_MZZI_BtoS
7015
414k
    1688425090U,  // SMLSLL_MZZI_HtoD
7016
414k
    1688441474U,  // SMLSLL_MZZ_BtoS
7017
414k
    1688425090U,  // SMLSLL_MZZ_HtoD
7018
414k
    3835925122U,  // SMLSLL_VG2_M2Z2Z_BtoS
7019
414k
    3835908738U,  // SMLSLL_VG2_M2Z2Z_HtoD
7020
414k
    3835925122U,  // SMLSLL_VG2_M2ZZI_BtoS
7021
414k
    3835908738U,  // SMLSLL_VG2_M2ZZI_HtoD
7022
414k
    4104360578U,  // SMLSLL_VG2_M2ZZ_BtoS
7023
414k
    4104344194U,  // SMLSLL_VG2_M2ZZ_HtoD
7024
414k
    4104360578U,  // SMLSLL_VG4_M4Z4Z_BtoS
7025
414k
    4104344194U,  // SMLSLL_VG4_M4Z4Z_HtoD
7026
414k
    4104360578U,  // SMLSLL_VG4_M4ZZI_BtoS
7027
414k
    4104344194U,  // SMLSLL_VG4_M4ZZI_HtoD
7028
414k
    77828738U,  // SMLSLL_VG4_M4ZZ_BtoS
7029
414k
    77812354U,  // SMLSLL_VG4_M4ZZ_HtoD
7030
414k
    1344330736U,  // SMLSLT_ZZZI_D
7031
414k
    2686540784U,  // SMLSLT_ZZZI_S
7032
414k
    1344330736U,  // SMLSLT_ZZZ_D
7033
414k
    2220956656U,  // SMLSLT_ZZZ_H
7034
414k
    2686540784U,  // SMLSLT_ZZZ_S
7035
414k
    1663275927U,  // SMLSL_MZZI_HtoS
7036
414k
    1663275927U,  // SMLSL_MZZ_HtoS
7037
414k
    3810759575U,  // SMLSL_VG2_M2Z2Z_HtoS
7038
414k
    3810759575U,  // SMLSL_VG2_M2ZZI_S
7039
414k
    3810759575U,  // SMLSL_VG2_M2ZZ_HtoS
7040
414k
    4079195031U,  // SMLSL_VG4_M4Z4Z_HtoS
7041
414k
    4079195031U,  // SMLSL_VG4_M4ZZI_HtoS
7042
414k
    4079195031U,  // SMLSL_VG4_M4ZZ_HtoS
7043
414k
    2969698676U,  // SMLSLv16i8_v8i16
7044
414k
    2963411863U,  // SMLSLv2i32_indexed
7045
414k
    2963411863U,  // SMLSLv2i32_v2i64
7046
414k
    2967606167U,  // SMLSLv4i16_indexed
7047
414k
    2967606167U,  // SMLSLv4i16_v4i32
7048
414k
    2963407220U,  // SMLSLv4i32_indexed
7049
414k
    2963407220U,  // SMLSLv4i32_v2i64
7050
414k
    2967601524U,  // SMLSLv8i16_indexed
7051
414k
    2967601524U,  // SMLSLv8i16_v4i32
7052
414k
    2969703319U,  // SMLSLv8i8_v8i16
7053
414k
    2967601988U,  // SMMLA
7054
414k
    2418099012U,  // SMMLA_ZZZ
7055
414k
    54641538U,  // SMOPA_MPPZZ_D
7056
414k
    54641538U,  // SMOPA_MPPZZ_HtoS
7057
414k
    79807362U,  // SMOPA_MPPZZ_S
7058
414k
    54647341U,  // SMOPS_MPPZZ_D
7059
414k
    54647341U,  // SMOPS_MPPZZ_HtoS
7060
414k
    79813165U,  // SMOPS_MPPZZ_S
7061
414k
    807427675U, // SMOVvi16to32
7062
414k
    807427675U, // SMOVvi16to32_idx0
7063
414k
    807427675U, // SMOVvi16to64
7064
414k
    807427675U, // SMOVvi16to64_idx0
7065
414k
    807427675U, // SMOVvi32to64
7066
414k
    807427675U, // SMOVvi32to64_idx0
7067
414k
    807427675U, // SMOVvi8to32
7068
414k
    807427675U, // SMOVvi8to32_idx0
7069
414k
    807427675U, // SMOVvi8to64
7070
414k
    807427675U, // SMOVvi8to64_idx0
7071
414k
    2118066U, // SMSUBLrrr
7072
414k
    3223359121U,  // SMULH_ZPmZ_B
7073
414k
    3223375505U,  // SMULH_ZPmZ_D
7074
414k
    3519090321U,  // SMULH_ZPmZ_H
7075
414k
    3223408273U,  // SMULH_ZPmZ_S
7076
414k
    2133649U, // SMULH_ZZZ_B
7077
414k
    2418069137U,  // SMULH_ZZZ_D
7078
414k
    2189495953U,  // SMULH_ZZZ_H
7079
414k
    270618257U, // SMULH_ZZZ_S
7080
414k
    2117265U, // SMULHrr
7081
414k
    270583579U, // SMULLB_ZZZI_D
7082
414k
    1881229083U,  // SMULLB_ZZZI_S
7083
414k
    270583579U, // SMULLB_ZZZ_D
7084
414k
    2197882651U,  // SMULLB_ZZZ_H
7085
414k
    1881229083U,  // SMULLB_ZZZ_S
7086
414k
    270588863U, // SMULLT_ZZZI_D
7087
414k
    1881234367U,  // SMULLT_ZZZI_S
7088
414k
    270588863U, // SMULLT_ZZZ_D
7089
414k
    2197887935U,  // SMULLT_ZZZ_H
7090
414k
    1881234367U,  // SMULLT_ZZZ_S
7091
414k
    822182226U, // SMULLv16i8_v8i16
7092
414k
    815895202U, // SMULLv2i32_indexed
7093
414k
    815895202U, // SMULLv2i32_v2i64
7094
414k
    820089506U, // SMULLv4i16_indexed
7095
414k
    820089506U, // SMULLv4i16_v4i32
7096
414k
    815890770U, // SMULLv4i32_indexed
7097
414k
    815890770U, // SMULLv4i32_v2i64
7098
414k
    820085074U, // SMULLv8i16_indexed
7099
414k
    820085074U, // SMULLv8i16_v4i32
7100
414k
    822186658U, // SMULLv8i8_v8i16
7101
414k
    3223358378U,  // SPLICE_ZPZZ_B
7102
414k
    3223374762U,  // SPLICE_ZPZZ_D
7103
414k
    2176912298U,  // SPLICE_ZPZZ_H
7104
414k
    3223407530U,  // SPLICE_ZPZZ_S
7105
414k
    3223358378U,  // SPLICE_ZPZ_B
7106
414k
    3223374762U,  // SPLICE_ZPZ_D
7107
414k
    2176912298U,  // SPLICE_ZPZ_H
7108
414k
    3223407530U,  // SPLICE_ZPZ_S
7109
414k
    270571888U, // SQABS_ZPmZ_B
7110
414k
    270588272U, // SQABS_ZPmZ_D
7111
414k
    541137264U, // SQABS_ZPmZ_H
7112
414k
    270621040U, // SQABS_ZPmZ_S
7113
414k
    811702640U, // SQABSv16i8
7114
414k
    2120048U, // SQABSv1i16
7115
414k
    2120048U, // SQABSv1i32
7116
414k
    2120048U, // SQABSv1i64
7117
414k
    2120048U, // SQABSv1i8
7118
414k
    813799792U, // SQABSv2i32
7119
414k
    815896944U, // SQABSv2i64
7120
414k
    817994096U, // SQABSv4i16
7121
414k
    820091248U, // SQABSv4i32
7122
414k
    822188400U, // SQABSv8i16
7123
414k
    824285552U, // SQABSv8i8
7124
414k
    2132826U, // SQADD_ZI_B
7125
414k
    2418068314U,  // SQADD_ZI_D
7126
414k
    2189495130U,  // SQADD_ZI_H
7127
414k
    270617434U, // SQADD_ZI_S
7128
414k
    3223358298U,  // SQADD_ZPmZ_B
7129
414k
    3223374682U,  // SQADD_ZPmZ_D
7130
414k
    3519089498U,  // SQADD_ZPmZ_H
7131
414k
    3223407450U,  // SQADD_ZPmZ_S
7132
414k
    2132826U, // SQADD_ZZZ_B
7133
414k
    2418068314U,  // SQADD_ZZZ_D
7134
414k
    2189495130U,  // SQADD_ZZZ_H
7135
414k
    270617434U, // SQADD_ZZZ_S
7136
414k
    811699034U, // SQADDv16i8
7137
414k
    2116442U, // SQADDv1i16
7138
414k
    2116442U, // SQADDv1i32
7139
414k
    2116442U, // SQADDv1i64
7140
414k
    2116442U, // SQADDv1i8
7141
414k
    813796186U, // SQADDv2i32
7142
414k
    815893338U, // SQADDv2i64
7143
414k
    817990490U, // SQADDv4i16
7144
414k
    820087642U, // SQADDv4i32
7145
414k
    822184794U, // SQADDv8i16
7146
414k
    824281946U, // SQADDv8i8
7147
414k
    2132758U, // SQCADD_ZZI_B
7148
414k
    2418068246U,  // SQCADD_ZZI_D
7149
414k
    2189495062U,  // SQCADD_ZZI_H
7150
414k
    270617366U, // SQCADD_ZZI_S
7151
414k
    1648432404U,  // SQCVTN_Z2Z_StoH
7152
414k
    1644238100U,  // SQCVTN_Z4Z_DtoH
7153
414k
    3223360788U,  // SQCVTN_Z4Z_StoB
7154
414k
    1648432453U,  // SQCVTUN_Z2Z_StoH
7155
414k
    1644238149U,  // SQCVTUN_Z4Z_DtoH
7156
414k
    3223360837U,  // SQCVTUN_Z4Z_StoB
7157
414k
    1648434631U,  // SQCVTU_Z2Z_StoH
7158
414k
    1644240327U,  // SQCVTU_Z4Z_DtoH
7159
414k
    3223363015U,  // SQCVTU_Z4Z_StoB
7160
414k
    1648434511U,  // SQCVT_Z2Z_StoH
7161
414k
    1644240207U,  // SQCVT_Z4Z_DtoH
7162
414k
    3223362895U,  // SQCVT_Z4Z_StoB
7163
414k
    538985924U, // SQDECB_XPiI
7164
414k
    2954905028U,  // SQDECB_XPiWdI
7165
414k
    538987247U, // SQDECD_XPiI
7166
414k
    2954906351U,  // SQDECD_XPiWdI
7167
414k
    539020015U, // SQDECD_ZPiI
7168
414k
    538987934U, // SQDECH_XPiI
7169
414k
    2954907038U,  // SQDECH_XPiWdI
7170
414k
    56692126U,  // SQDECH_ZPiI
7171
414k
    2119081U, // SQDECP_XPWd_B
7172
414k
    2418038185U,  // SQDECP_XPWd_D
7173
414k
    1881167273U,  // SQDECP_XPWd_H
7174
414k
    270554537U, // SQDECP_XPWd_S
7175
414k
    2119081U, // SQDECP_XP_B
7176
414k
    2418038185U,  // SQDECP_XP_D
7177
414k
    1881167273U,  // SQDECP_XP_H
7178
414k
    270554537U, // SQDECP_XP_S
7179
414k
    1075893673U,  // SQDECP_ZP_D
7180
414k
    1658918313U,  // SQDECP_ZP_H
7181
414k
    1344361897U,  // SQDECP_ZP_S
7182
414k
    538992459U, // SQDECW_XPiI
7183
414k
    2954911563U,  // SQDECW_XPiWdI
7184
414k
    539057995U, // SQDECW_ZPiI
7185
414k
    1344330376U,  // SQDMLALBT_ZZZ_D
7186
414k
    2220956296U,  // SQDMLALBT_ZZZ_H
7187
414k
    2686540424U,  // SQDMLALBT_ZZZ_S
7188
414k
    1344325173U,  // SQDMLALB_ZZZI_D
7189
414k
    2686535221U,  // SQDMLALB_ZZZI_S
7190
414k
    1344325173U,  // SQDMLALB_ZZZ_D
7191
414k
    2220951093U,  // SQDMLALB_ZZZ_H
7192
414k
    2686535221U,  // SQDMLALB_ZZZ_S
7193
414k
    1344330542U,  // SQDMLALT_ZZZI_D
7194
414k
    2686540590U,  // SQDMLALT_ZZZI_S
7195
414k
    1344330542U,  // SQDMLALT_ZZZ_D
7196
414k
    2220956462U,  // SQDMLALT_ZZZ_H
7197
414k
    2686540590U,  // SQDMLALT_ZZZ_S
7198
414k
    807719047U, // SQDMLALi16
7199
414k
    807719047U, // SQDMLALi32
7200
414k
    807719047U, // SQDMLALv1i32_indexed
7201
414k
    807719047U, // SQDMLALv1i64_indexed
7202
414k
    2963411079U,  // SQDMLALv2i32_indexed
7203
414k
    2963411079U,  // SQDMLALv2i32_v2i64
7204
414k
    2967605383U,  // SQDMLALv4i16_indexed
7205
414k
    2967605383U,  // SQDMLALv4i16_v4i32
7206
414k
    2963407070U,  // SQDMLALv4i32_indexed
7207
414k
    2963407070U,  // SQDMLALv4i32_v2i64
7208
414k
    2967601374U,  // SQDMLALv8i16_indexed
7209
414k
    2967601374U,  // SQDMLALv8i16_v4i32
7210
414k
    1344330415U,  // SQDMLSLBT_ZZZ_D
7211
414k
    2220956335U,  // SQDMLSLBT_ZZZ_H
7212
414k
    2686540463U,  // SQDMLSLBT_ZZZ_S
7213
414k
    1344325471U,  // SQDMLSLB_ZZZI_D
7214
414k
    2686535519U,  // SQDMLSLB_ZZZI_S
7215
414k
    1344325471U,  // SQDMLSLB_ZZZ_D
7216
414k
    2220951391U,  // SQDMLSLB_ZZZ_H
7217
414k
    2686535519U,  // SQDMLSLB_ZZZ_S
7218
414k
    1344330717U,  // SQDMLSLT_ZZZI_D
7219
414k
    2686540765U,  // SQDMLSLT_ZZZI_S
7220
414k
    1344330717U,  // SQDMLSLT_ZZZ_D
7221
414k
    2220956637U,  // SQDMLSLT_ZZZ_H
7222
414k
    2686540765U,  // SQDMLSLT_ZZZ_S
7223
414k
    807719814U, // SQDMLSLi16
7224
414k
    807719814U, // SQDMLSLi32
7225
414k
    807719814U, // SQDMLSLv1i32_indexed
7226
414k
    807719814U, // SQDMLSLv1i64_indexed
7227
414k
    2963411846U,  // SQDMLSLv2i32_indexed
7228
414k
    2963411846U,  // SQDMLSLv2i32_v2i64
7229
414k
    2967606150U,  // SQDMLSLv4i16_indexed
7230
414k
    2967606150U,  // SQDMLSLv4i16_v4i32
7231
414k
    2963407202U,  // SQDMLSLv4i32_indexed
7232
414k
    2963407202U,  // SQDMLSLv4i32_v2i64
7233
414k
    2967601506U,  // SQDMLSLv8i16_indexed
7234
414k
    2967601506U,  // SQDMLSLv8i16_v4i32
7235
414k
    2179092094U,  // SQDMULH_VG2_2Z2Z_B
7236
414k
    2181205630U,  // SQDMULH_VG2_2Z2Z_D
7237
414k
    2183319166U,  // SQDMULH_VG2_2Z2Z_H
7238
414k
    2185432702U,  // SQDMULH_VG2_2Z2Z_S
7239
414k
    2179092094U,  // SQDMULH_VG2_2ZZ_B
7240
414k
    2181205630U,  // SQDMULH_VG2_2ZZ_D
7241
414k
    2183319166U,  // SQDMULH_VG2_2ZZ_H
7242
414k
    2185432702U,  // SQDMULH_VG2_2ZZ_S
7243
414k
    2179092094U,  // SQDMULH_VG4_4Z4Z_B
7244
414k
    2181205630U,  // SQDMULH_VG4_4Z4Z_D
7245
414k
    2183319166U,  // SQDMULH_VG4_4Z4Z_H
7246
414k
    2185432702U,  // SQDMULH_VG4_4Z4Z_S
7247
414k
    2179092094U,  // SQDMULH_VG4_4ZZ_B
7248
414k
    2181205630U,  // SQDMULH_VG4_4ZZ_D
7249
414k
    2183319166U,  // SQDMULH_VG4_4ZZ_H
7250
414k
    2185432702U,  // SQDMULH_VG4_4ZZ_S
7251
414k
    2418069118U,  // SQDMULH_ZZZI_D
7252
414k
    2189495934U,  // SQDMULH_ZZZI_H
7253
414k
    270618238U, // SQDMULH_ZZZI_S
7254
414k
    2133630U, // SQDMULH_ZZZ_B
7255
414k
    2418069118U,  // SQDMULH_ZZZ_D
7256
414k
    2189495934U,  // SQDMULH_ZZZ_H
7257
414k
    270618238U, // SQDMULH_ZZZ_S
7258
414k
    2117246U, // SQDMULHv1i16
7259
414k
    2117246U, // SQDMULHv1i16_indexed
7260
414k
    2117246U, // SQDMULHv1i32
7261
414k
    2117246U, // SQDMULHv1i32_indexed
7262
414k
    813796990U, // SQDMULHv2i32
7263
414k
    813796990U, // SQDMULHv2i32_indexed
7264
414k
    817991294U, // SQDMULHv4i16
7265
414k
    817991294U, // SQDMULHv4i16_indexed
7266
414k
    820088446U, // SQDMULHv4i32
7267
414k
    820088446U, // SQDMULHv4i32_indexed
7268
414k
    822185598U, // SQDMULHv8i16
7269
414k
    822185598U, // SQDMULHv8i16_indexed
7270
414k
    270583561U, // SQDMULLB_ZZZI_D
7271
414k
    1881229065U,  // SQDMULLB_ZZZI_S
7272
414k
    270583561U, // SQDMULLB_ZZZ_D
7273
414k
    2197882633U,  // SQDMULLB_ZZZ_H
7274
414k
    1881229065U,  // SQDMULLB_ZZZ_S
7275
414k
    270588845U, // SQDMULLT_ZZZI_D
7276
414k
    1881234349U,  // SQDMULLT_ZZZI_S
7277
414k
    270588845U, // SQDMULLT_ZZZ_D
7278
414k
    2197887917U,  // SQDMULLT_ZZZ_H
7279
414k
    1881234349U,  // SQDMULLT_ZZZ_S
7280
414k
    2118290U, // SQDMULLi16
7281
414k
    2118290U, // SQDMULLi32
7282
414k
    2118290U, // SQDMULLv1i32_indexed
7283
414k
    2118290U, // SQDMULLv1i64_indexed
7284
414k
    815895186U, // SQDMULLv2i32_indexed
7285
414k
    815895186U, // SQDMULLv2i32_v2i64
7286
414k
    820089490U, // SQDMULLv4i16_indexed
7287
414k
    820089490U, // SQDMULLv4i16_v4i32
7288
414k
    815890752U, // SQDMULLv4i32_indexed
7289
414k
    815890752U, // SQDMULLv4i32_v2i64
7290
414k
    820085056U, // SQDMULLv8i16_indexed
7291
414k
    820085056U, // SQDMULLv8i16_v4i32
7292
414k
    538985940U, // SQINCB_XPiI
7293
414k
    2954905044U,  // SQINCB_XPiWdI
7294
414k
    538987263U, // SQINCD_XPiI
7295
414k
    2954906367U,  // SQINCD_XPiWdI
7296
414k
    539020031U, // SQINCD_ZPiI
7297
414k
    538987950U, // SQINCH_XPiI
7298
414k
    2954907054U,  // SQINCH_XPiWdI
7299
414k
    56692142U,  // SQINCH_ZPiI
7300
414k
    2119097U, // SQINCP_XPWd_B
7301
414k
    2418038201U,  // SQINCP_XPWd_D
7302
414k
    1881167289U,  // SQINCP_XPWd_H
7303
414k
    270554553U, // SQINCP_XPWd_S
7304
414k
    2119097U, // SQINCP_XP_B
7305
414k
    2418038201U,  // SQINCP_XP_D
7306
414k
    1881167289U,  // SQINCP_XP_H
7307
414k
    270554553U, // SQINCP_XP_S
7308
414k
    1075893689U,  // SQINCP_ZP_D
7309
414k
    1658918329U,  // SQINCP_ZP_H
7310
414k
    1344361913U,  // SQINCP_ZP_S
7311
414k
    538992475U, // SQINCW_XPiI
7312
414k
    2954911579U,  // SQINCW_XPiWdI
7313
414k
    539058011U, // SQINCW_ZPiI
7314
414k
    270568590U, // SQNEG_ZPmZ_B
7315
414k
    270584974U, // SQNEG_ZPmZ_D
7316
414k
    541133966U, // SQNEG_ZPmZ_H
7317
414k
    270617742U, // SQNEG_ZPmZ_S
7318
414k
    811699342U, // SQNEGv16i8
7319
414k
    2116750U, // SQNEGv1i16
7320
414k
    2116750U, // SQNEGv1i32
7321
414k
    2116750U, // SQNEGv1i64
7322
414k
    2116750U, // SQNEGv1i8
7323
414k
    813796494U, // SQNEGv2i32
7324
414k
    815893646U, // SQNEGv2i64
7325
414k
    817990798U, // SQNEGv4i16
7326
414k
    820087950U, // SQNEGv4i32
7327
414k
    822185102U, // SQNEGv8i16
7328
414k
    824282254U, // SQNEGv8i8
7329
414k
    2195787055U,  // SQRDCMLAH_ZZZI_H
7330
414k
    1344359727U,  // SQRDCMLAH_ZZZI_S
7331
414k
    2418052399U,  // SQRDCMLAH_ZZZ_B
7332
414k
    1075891503U,  // SQRDCMLAH_ZZZ_D
7333
414k
    2195787055U,  // SQRDCMLAH_ZZZ_H
7334
414k
    1344359727U,  // SQRDCMLAH_ZZZ_S
7335
414k
    1075891514U,  // SQRDMLAH_ZZZI_D
7336
414k
    2195787066U,  // SQRDMLAH_ZZZI_H
7337
414k
    1344359738U,  // SQRDMLAH_ZZZI_S
7338
414k
    2418052410U,  // SQRDMLAH_ZZZ_B
7339
414k
    1075891514U,  // SQRDMLAH_ZZZ_D
7340
414k
    2195787066U,  // SQRDMLAH_ZZZ_H
7341
414k
    1344359738U,  // SQRDMLAH_ZZZ_S
7342
414k
    807718202U, // SQRDMLAHv1i16
7343
414k
    807718202U, // SQRDMLAHv1i16_indexed
7344
414k
    807718202U, // SQRDMLAHv1i32
7345
414k
    807718202U, // SQRDMLAHv1i32_indexed
7346
414k
    2961313082U,  // SQRDMLAHv2i32
7347
414k
    2961313082U,  // SQRDMLAHv2i32_indexed
7348
414k
    2965507386U,  // SQRDMLAHv4i16
7349
414k
    2965507386U,  // SQRDMLAHv4i16_indexed
7350
414k
    2967604538U,  // SQRDMLAHv4i32
7351
414k
    2967604538U,  // SQRDMLAHv4i32_indexed
7352
414k
    2969701690U,  // SQRDMLAHv8i16
7353
414k
    2969701690U,  // SQRDMLAHv8i16_indexed
7354
414k
    1075892119U,  // SQRDMLSH_ZZZI_D
7355
414k
    2195787671U,  // SQRDMLSH_ZZZI_H
7356
414k
    1344360343U,  // SQRDMLSH_ZZZI_S
7357
414k
    2418053015U,  // SQRDMLSH_ZZZ_B
7358
414k
    1075892119U,  // SQRDMLSH_ZZZ_D
7359
414k
    2195787671U,  // SQRDMLSH_ZZZ_H
7360
414k
    1344360343U,  // SQRDMLSH_ZZZ_S
7361
414k
    807718807U, // SQRDMLSHv1i16
7362
414k
    807718807U, // SQRDMLSHv1i16_indexed
7363
414k
    807718807U, // SQRDMLSHv1i32
7364
414k
    807718807U, // SQRDMLSHv1i32_indexed
7365
414k
    2961313687U,  // SQRDMLSHv2i32
7366
414k
    2961313687U,  // SQRDMLSHv2i32_indexed
7367
414k
    2965507991U,  // SQRDMLSHv4i16
7368
414k
    2965507991U,  // SQRDMLSHv4i16_indexed
7369
414k
    2967605143U,  // SQRDMLSHv4i32
7370
414k
    2967605143U,  // SQRDMLSHv4i32_indexed
7371
414k
    2969702295U,  // SQRDMLSHv8i16
7372
414k
    2969702295U,  // SQRDMLSHv8i16_indexed
7373
414k
    2418069127U,  // SQRDMULH_ZZZI_D
7374
414k
    2189495943U,  // SQRDMULH_ZZZI_H
7375
414k
    270618247U, // SQRDMULH_ZZZI_S
7376
414k
    2133639U, // SQRDMULH_ZZZ_B
7377
414k
    2418069127U,  // SQRDMULH_ZZZ_D
7378
414k
    2189495943U,  // SQRDMULH_ZZZ_H
7379
414k
    270618247U, // SQRDMULH_ZZZ_S
7380
414k
    2117255U, // SQRDMULHv1i16
7381
414k
    2117255U, // SQRDMULHv1i16_indexed
7382
414k
    2117255U, // SQRDMULHv1i32
7383
414k
    2117255U, // SQRDMULHv1i32_indexed
7384
414k
    813796999U, // SQRDMULHv2i32
7385
414k
    813796999U, // SQRDMULHv2i32_indexed
7386
414k
    817991303U, // SQRDMULHv4i16
7387
414k
    817991303U, // SQRDMULHv4i16_indexed
7388
414k
    820088455U, // SQRDMULHv4i32
7389
414k
    820088455U, // SQRDMULHv4i32_indexed
7390
414k
    822185607U, // SQRDMULHv8i16
7391
414k
    822185607U, // SQRDMULHv8i16_indexed
7392
414k
    3223361625U,  // SQRSHLR_ZPmZ_B
7393
414k
    3223378009U,  // SQRSHLR_ZPmZ_D
7394
414k
    3519092825U,  // SQRSHLR_ZPmZ_H
7395
414k
    3223410777U,  // SQRSHLR_ZPmZ_S
7396
414k
    3223360048U,  // SQRSHL_ZPmZ_B
7397
414k
    3223376432U,  // SQRSHL_ZPmZ_D
7398
414k
    3519091248U,  // SQRSHL_ZPmZ_H
7399
414k
    3223409200U,  // SQRSHL_ZPmZ_S
7400
414k
    811700784U, // SQRSHLv16i8
7401
414k
    2118192U, // SQRSHLv1i16
7402
414k
    2118192U, // SQRSHLv1i32
7403
414k
    2118192U, // SQRSHLv1i64
7404
414k
    2118192U, // SQRSHLv1i8
7405
414k
    813797936U, // SQRSHLv2i32
7406
414k
    815895088U, // SQRSHLv2i64
7407
414k
    817992240U, // SQRSHLv4i16
7408
414k
    820089392U, // SQRSHLv4i32
7409
414k
    822186544U, // SQRSHLv8i16
7410
414k
    824283696U, // SQRSHLv8i8
7411
414k
    1881180122U,  // SQRSHRNB_ZZI_B
7412
414k
    2172717018U,  // SQRSHRNB_ZZI_H
7413
414k
    2418100186U,  // SQRSHRNB_ZZI_S
7414
414k
    2686491727U,  // SQRSHRNT_ZZI_B
7415
414k
    2174819407U,  // SQRSHRNT_ZZI_H
7416
414k
    1075928143U,  // SQRSHRNT_ZZI_S
7417
414k
    3223360749U,  // SQRSHRN_VG4_Z4ZI_B
7418
414k
    2181108973U,  // SQRSHRN_VG4_Z4ZI_H
7419
414k
    2185303277U,  // SQRSHRN_Z2ZI_StoH
7420
414k
    2118893U, // SQRSHRNb
7421
414k
    2118893U, // SQRSHRNh
7422
414k
    2118893U, // SQRSHRNs
7423
414k
    2959212996U,  // SQRSHRNv16i8_shift
7424
414k
    813798637U, // SQRSHRNv2i32_shift
7425
414k
    817992941U, // SQRSHRNv4i16_shift
7426
414k
    2967601604U,  // SQRSHRNv4i32_shift
7427
414k
    2969698756U,  // SQRSHRNv8i16_shift
7428
414k
    824284397U, // SQRSHRNv8i8_shift
7429
414k
    1881180176U,  // SQRSHRUNB_ZZI_B
7430
414k
    2172717072U,  // SQRSHRUNB_ZZI_H
7431
414k
    2418100240U,  // SQRSHRUNB_ZZI_S
7432
414k
    2686491782U,  // SQRSHRUNT_ZZI_B
7433
414k
    2174819462U,  // SQRSHRUNT_ZZI_H
7434
414k
    1075928198U,  // SQRSHRUNT_ZZI_S
7435
414k
    3223360827U,  // SQRSHRUN_VG4_Z4ZI_B
7436
414k
    2181109051U,  // SQRSHRUN_VG4_Z4ZI_H
7437
414k
    2185303355U,  // SQRSHRUN_Z2ZI_StoH
7438
414k
    2118971U, // SQRSHRUNb
7439
414k
    2118971U, // SQRSHRUNh
7440
414k
    2118971U, // SQRSHRUNs
7441
414k
    2959213057U,  // SQRSHRUNv16i8_shift
7442
414k
    813798715U, // SQRSHRUNv2i32_shift
7443
414k
    817993019U, // SQRSHRUNv4i16_shift
7444
414k
    2967601665U,  // SQRSHRUNv4i32_shift
7445
414k
    2969698817U,  // SQRSHRUNv8i16_shift
7446
414k
    824284475U, // SQRSHRUNv8i8_shift
7447
414k
    2185305534U,  // SQRSHRU_VG2_Z2ZI_H
7448
414k
    3223363006U,  // SQRSHRU_VG4_Z4ZI_B
7449
414k
    2181111230U,  // SQRSHRU_VG4_Z4ZI_H
7450
414k
    2185304066U,  // SQRSHR_VG2_Z2ZI_H
7451
414k
    3223361538U,  // SQRSHR_VG4_Z4ZI_B
7452
414k
    2181109762U,  // SQRSHR_VG4_Z4ZI_H
7453
414k
    3223361609U,  // SQSHLR_ZPmZ_B
7454
414k
    3223377993U,  // SQSHLR_ZPmZ_D
7455
414k
    3519092809U,  // SQSHLR_ZPmZ_H
7456
414k
    3223410761U,  // SQSHLR_ZPmZ_S
7457
414k
    3223362974U,  // SQSHLU_ZPmI_B
7458
414k
    3223379358U,  // SQSHLU_ZPmI_D
7459
414k
    3519094174U,  // SQSHLU_ZPmI_H
7460
414k
    3223412126U,  // SQSHLU_ZPmI_S
7461
414k
    2121118U, // SQSHLUb
7462
414k
    2121118U, // SQSHLUd
7463
414k
    2121118U, // SQSHLUh
7464
414k
    2121118U, // SQSHLUs
7465
414k
    811703710U, // SQSHLUv16i8_shift
7466
414k
    813800862U, // SQSHLUv2i32_shift
7467
414k
    815898014U, // SQSHLUv2i64_shift
7468
414k
    817995166U, // SQSHLUv4i16_shift
7469
414k
    820092318U, // SQSHLUv4i32_shift
7470
414k
    822189470U, // SQSHLUv8i16_shift
7471
414k
    824286622U, // SQSHLUv8i8_shift
7472
414k
    3223360034U,  // SQSHL_ZPmI_B
7473
414k
    3223376418U,  // SQSHL_ZPmI_D
7474
414k
    3519091234U,  // SQSHL_ZPmI_H
7475
414k
    3223409186U,  // SQSHL_ZPmI_S
7476
414k
    3223360034U,  // SQSHL_ZPmZ_B
7477
414k
    3223376418U,  // SQSHL_ZPmZ_D
7478
414k
    3519091234U,  // SQSHL_ZPmZ_H
7479
414k
    3223409186U,  // SQSHL_ZPmZ_S
7480
414k
    2118178U, // SQSHLb
7481
414k
    2118178U, // SQSHLd
7482
414k
    2118178U, // SQSHLh
7483
414k
    2118178U, // SQSHLs
7484
414k
    811700770U, // SQSHLv16i8
7485
414k
    811700770U, // SQSHLv16i8_shift
7486
414k
    2118178U, // SQSHLv1i16
7487
414k
    2118178U, // SQSHLv1i32
7488
414k
    2118178U, // SQSHLv1i64
7489
414k
    2118178U, // SQSHLv1i8
7490
414k
    813797922U, // SQSHLv2i32
7491
414k
    813797922U, // SQSHLv2i32_shift
7492
414k
    815895074U, // SQSHLv2i64
7493
414k
    815895074U, // SQSHLv2i64_shift
7494
414k
    817992226U, // SQSHLv4i16
7495
414k
    817992226U, // SQSHLv4i16_shift
7496
414k
    820089378U, // SQSHLv4i32
7497
414k
    820089378U, // SQSHLv4i32_shift
7498
414k
    822186530U, // SQSHLv8i16
7499
414k
    822186530U, // SQSHLv8i16_shift
7500
414k
    824283682U, // SQSHLv8i8
7501
414k
    824283682U, // SQSHLv8i8_shift
7502
414k
    1881180104U,  // SQSHRNB_ZZI_B
7503
414k
    2172717000U,  // SQSHRNB_ZZI_H
7504
414k
    2418100168U,  // SQSHRNB_ZZI_S
7505
414k
    2686491709U,  // SQSHRNT_ZZI_B
7506
414k
    2174819389U,  // SQSHRNT_ZZI_H
7507
414k
    1075928125U,  // SQSHRNT_ZZI_S
7508
414k
    2118877U, // SQSHRNb
7509
414k
    2118877U, // SQSHRNh
7510
414k
    2118877U, // SQSHRNs
7511
414k
    2959212978U,  // SQSHRNv16i8_shift
7512
414k
    813798621U, // SQSHRNv2i32_shift
7513
414k
    817992925U, // SQSHRNv4i16_shift
7514
414k
    2967601586U,  // SQSHRNv4i32_shift
7515
414k
    2969698738U,  // SQSHRNv8i16_shift
7516
414k
    824284381U, // SQSHRNv8i8_shift
7517
414k
    1881180166U,  // SQSHRUNB_ZZI_B
7518
414k
    2172717062U,  // SQSHRUNB_ZZI_H
7519
414k
    2418100230U,  // SQSHRUNB_ZZI_S
7520
414k
    2686491772U,  // SQSHRUNT_ZZI_B
7521
414k
    2174819452U,  // SQSHRUNT_ZZI_H
7522
414k
    1075928188U,  // SQSHRUNT_ZZI_S
7523
414k
    2118962U, // SQSHRUNb
7524
414k
    2118962U, // SQSHRUNh
7525
414k
    2118962U, // SQSHRUNs
7526
414k
    2959213047U,  // SQSHRUNv16i8_shift
7527
414k
    813798706U, // SQSHRUNv2i32_shift
7528
414k
    817993010U, // SQSHRUNv4i16_shift
7529
414k
    2967601655U,  // SQSHRUNv4i32_shift
7530
414k
    2969698807U,  // SQSHRUNv8i16_shift
7531
414k
    824284466U, // SQSHRUNv8i8_shift
7532
414k
    3223361498U,  // SQSUBR_ZPmZ_B
7533
414k
    3223377882U,  // SQSUBR_ZPmZ_D
7534
414k
    3519092698U,  // SQSUBR_ZPmZ_H
7535
414k
    3223410650U,  // SQSUBR_ZPmZ_S
7536
414k
    2132394U, // SQSUB_ZI_B
7537
414k
    2418067882U,  // SQSUB_ZI_D
7538
414k
    2189494698U,  // SQSUB_ZI_H
7539
414k
    270617002U, // SQSUB_ZI_S
7540
414k
    3223357866U,  // SQSUB_ZPmZ_B
7541
414k
    3223374250U,  // SQSUB_ZPmZ_D
7542
414k
    3519089066U,  // SQSUB_ZPmZ_H
7543
414k
    3223407018U,  // SQSUB_ZPmZ_S
7544
414k
    2132394U, // SQSUB_ZZZ_B
7545
414k
    2418067882U,  // SQSUB_ZZZ_D
7546
414k
    2189494698U,  // SQSUB_ZZZ_H
7547
414k
    270617002U, // SQSUB_ZZZ_S
7548
414k
    811698602U, // SQSUBv16i8
7549
414k
    2116010U, // SQSUBv1i16
7550
414k
    2116010U, // SQSUBv1i32
7551
414k
    2116010U, // SQSUBv1i64
7552
414k
    2116010U, // SQSUBv1i8
7553
414k
    813795754U, // SQSUBv2i32
7554
414k
    815892906U, // SQSUBv2i64
7555
414k
    817990058U, // SQSUBv4i16
7556
414k
    820087210U, // SQSUBv4i32
7557
414k
    822184362U, // SQSUBv8i16
7558
414k
    824281514U, // SQSUBv8i8
7559
414k
    1881180150U,  // SQXTNB_ZZ_B
7560
414k
    1635846134U,  // SQXTNB_ZZ_H
7561
414k
    2418100214U,  // SQXTNB_ZZ_S
7562
414k
    2686491756U,  // SQXTNT_ZZ_B
7563
414k
    1637948524U,  // SQXTNT_ZZ_H
7564
414k
    1075928172U,  // SQXTNT_ZZ_S
7565
414k
    2959213031U,  // SQXTNv16i8
7566
414k
    2118948U, // SQXTNv1i16
7567
414k
    2118948U, // SQXTNv1i32
7568
414k
    2118948U, // SQXTNv1i8
7569
414k
    813798692U, // SQXTNv2i32
7570
414k
    817992996U, // SQXTNv4i16
7571
414k
    2967601639U,  // SQXTNv4i32
7572
414k
    2969698791U,  // SQXTNv8i16
7573
414k
    824284452U, // SQXTNv8i8
7574
414k
    1881180187U,  // SQXTUNB_ZZ_B
7575
414k
    1635846171U,  // SQXTUNB_ZZ_H
7576
414k
    2418100251U,  // SQXTUNB_ZZ_S
7577
414k
    2686491793U,  // SQXTUNT_ZZ_B
7578
414k
    1637948561U,  // SQXTUNT_ZZ_H
7579
414k
    1075928209U,  // SQXTUNT_ZZ_S
7580
414k
    2959213068U,  // SQXTUNv16i8
7581
414k
    2118990U, // SQXTUNv1i16
7582
414k
    2118990U, // SQXTUNv1i32
7583
414k
    2118990U, // SQXTUNv1i8
7584
414k
    813798734U, // SQXTUNv2i32
7585
414k
    817993038U, // SQXTUNv4i16
7586
414k
    2967601676U,  // SQXTUNv4i32
7587
414k
    2969698828U,  // SQXTUNv8i16
7588
414k
    824284494U, // SQXTUNv8i8
7589
414k
    3223358252U,  // SRHADD_ZPmZ_B
7590
414k
    3223374636U,  // SRHADD_ZPmZ_D
7591
414k
    3519089452U,  // SRHADD_ZPmZ_H
7592
414k
    3223407404U,  // SRHADD_ZPmZ_S
7593
414k
    811698988U, // SRHADDv16i8
7594
414k
    813796140U, // SRHADDv2i32
7595
414k
    817990444U, // SRHADDv4i16
7596
414k
    820087596U, // SRHADDv4i32
7597
414k
    822184748U, // SRHADDv8i16
7598
414k
    824281900U, // SRHADDv8i8
7599
414k
    2418053188U,  // SRI_ZZI_B
7600
414k
    1075892292U,  // SRI_ZZI_D
7601
414k
    2195787844U,  // SRI_ZZI_H
7602
414k
    1344360516U,  // SRI_ZZI_S
7603
414k
    807718980U, // SRId
7604
414k
    2959216708U,  // SRIv16i8_shift
7605
414k
    2961313860U,  // SRIv2i32_shift
7606
414k
    2963411012U,  // SRIv2i64_shift
7607
414k
    2965508164U,  // SRIv4i16_shift
7608
414k
    2967605316U,  // SRIv4i32_shift
7609
414k
    2969702468U,  // SRIv8i16_shift
7610
414k
    2971799620U,  // SRIv8i8_shift
7611
414k
    3223361643U,  // SRSHLR_ZPmZ_B
7612
414k
    3223378027U,  // SRSHLR_ZPmZ_D
7613
414k
    3519092843U,  // SRSHLR_ZPmZ_H
7614
414k
    3223410795U,  // SRSHLR_ZPmZ_S
7615
414k
    2179093056U,  // SRSHL_VG2_2Z2Z_B
7616
414k
    2181206592U,  // SRSHL_VG2_2Z2Z_D
7617
414k
    2183320128U,  // SRSHL_VG2_2Z2Z_H
7618
414k
    2185433664U,  // SRSHL_VG2_2Z2Z_S
7619
414k
    2179093056U,  // SRSHL_VG2_2ZZ_B
7620
414k
    2181206592U,  // SRSHL_VG2_2ZZ_D
7621
414k
    2183320128U,  // SRSHL_VG2_2ZZ_H
7622
414k
    2185433664U,  // SRSHL_VG2_2ZZ_S
7623
414k
    2179093056U,  // SRSHL_VG4_4Z4Z_B
7624
414k
    2181206592U,  // SRSHL_VG4_4Z4Z_D
7625
414k
    2183320128U,  // SRSHL_VG4_4Z4Z_H
7626
414k
    2185433664U,  // SRSHL_VG4_4Z4Z_S
7627
414k
    2179093056U,  // SRSHL_VG4_4ZZ_B
7628
414k
    2181206592U,  // SRSHL_VG4_4ZZ_D
7629
414k
    2183320128U,  // SRSHL_VG4_4ZZ_H
7630
414k
    2185433664U,  // SRSHL_VG4_4ZZ_S
7631
414k
    3223360064U,  // SRSHL_ZPmZ_B
7632
414k
    3223376448U,  // SRSHL_ZPmZ_D
7633
414k
    3519091264U,  // SRSHL_ZPmZ_H
7634
414k
    3223409216U,  // SRSHL_ZPmZ_S
7635
414k
    811700800U, // SRSHLv16i8
7636
414k
    2118208U, // SRSHLv1i64
7637
414k
    813797952U, // SRSHLv2i32
7638
414k
    815895104U, // SRSHLv2i64
7639
414k
    817992256U, // SRSHLv4i16
7640
414k
    820089408U, // SRSHLv4i32
7641
414k
    822186560U, // SRSHLv8i16
7642
414k
    824283712U, // SRSHLv8i8
7643
414k
    3223361554U,  // SRSHR_ZPmI_B
7644
414k
    3223377938U,  // SRSHR_ZPmI_D
7645
414k
    3519092754U,  // SRSHR_ZPmI_H
7646
414k
    3223410706U,  // SRSHR_ZPmI_S
7647
414k
    2119698U, // SRSHRd
7648
414k
    811702290U, // SRSHRv16i8_shift
7649
414k
    813799442U, // SRSHRv2i32_shift
7650
414k
    815896594U, // SRSHRv2i64_shift
7651
414k
    817993746U, // SRSHRv4i16_shift
7652
414k
    820090898U, // SRSHRv4i32_shift
7653
414k
    822188050U, // SRSHRv8i16_shift
7654
414k
    824285202U, // SRSHRv8i8_shift
7655
414k
    2418050100U,  // SRSRA_ZZI_B
7656
414k
    1075889204U,  // SRSRA_ZZI_D
7657
414k
    2195784756U,  // SRSRA_ZZI_H
7658
414k
    1344357428U,  // SRSRA_ZZI_S
7659
414k
    807715892U, // SRSRAd
7660
414k
    2959213620U,  // SRSRAv16i8_shift
7661
414k
    2961310772U,  // SRSRAv2i32_shift
7662
414k
    2963407924U,  // SRSRAv2i64_shift
7663
414k
    2965505076U,  // SRSRAv4i16_shift
7664
414k
    2967602228U,  // SRSRAv4i32_shift
7665
414k
    2969699380U,  // SRSRAv8i16_shift
7666
414k
    2971796532U,  // SRSRAv8i8_shift
7667
414k
    270583545U, // SSHLLB_ZZI_D
7668
414k
    2197882617U,  // SSHLLB_ZZI_H
7669
414k
    1881229049U,  // SSHLLB_ZZI_S
7670
414k
    270588829U, // SSHLLT_ZZI_D
7671
414k
    2197887901U,  // SSHLLT_ZZI_H
7672
414k
    1881234333U,  // SSHLLT_ZZI_S
7673
414k
    822182192U, // SSHLLv16i8_shift
7674
414k
    815895156U, // SSHLLv2i32_shift
7675
414k
    820089460U, // SSHLLv4i16_shift
7676
414k
    815890736U, // SSHLLv4i32_shift
7677
414k
    820085040U, // SSHLLv8i16_shift
7678
414k
    822186612U, // SSHLLv8i8_shift
7679
414k
    811700814U, // SSHLv16i8
7680
414k
    2118222U, // SSHLv1i64
7681
414k
    813797966U, // SSHLv2i32
7682
414k
    815895118U, // SSHLv2i64
7683
414k
    817992270U, // SSHLv4i16
7684
414k
    820089422U, // SSHLv4i32
7685
414k
    822186574U, // SSHLv8i16
7686
414k
    824283726U, // SSHLv8i8
7687
414k
    2119712U, // SSHRd
7688
414k
    811702304U, // SSHRv16i8_shift
7689
414k
    813799456U, // SSHRv2i32_shift
7690
414k
    815896608U, // SSHRv2i64_shift
7691
414k
    817993760U, // SSHRv4i16_shift
7692
414k
    820090912U, // SSHRv4i32_shift
7693
414k
    822188064U, // SSHRv8i16_shift
7694
414k
    824285216U, // SSHRv8i8_shift
7695
414k
    2418050114U,  // SSRA_ZZI_B
7696
414k
    1075889218U,  // SSRA_ZZI_D
7697
414k
    2195784770U,  // SSRA_ZZI_H
7698
414k
    1344357442U,  // SSRA_ZZI_S
7699
414k
    807715906U, // SSRAd
7700
414k
    2959213634U,  // SSRAv16i8_shift
7701
414k
    2961310786U,  // SSRAv2i32_shift
7702
414k
    2963407938U,  // SSRAv2i64_shift
7703
414k
    2965505090U,  // SSRAv4i16_shift
7704
414k
    2967602242U,  // SSRAv4i32_shift
7705
414k
    2969699394U,  // SSRAv8i16_shift
7706
414k
    2971796546U,  // SSRAv8i8_shift
7707
414k
    3250750705U,  // SST1B_D
7708
414k
    3250750705U,  // SST1B_D_IMM
7709
414k
    3250750705U,  // SST1B_D_SXTW
7710
414k
    3250750705U,  // SST1B_D_UXTW
7711
414k
    3250783473U,  // SST1B_S_IMM
7712
414k
    3250783473U,  // SST1B_S_SXTW
7713
414k
    3250783473U,  // SST1B_S_UXTW
7714
414k
    3250752152U,  // SST1D
7715
414k
    3250752152U,  // SST1D_IMM
7716
414k
    3250752152U,  // SST1D_SCALED
7717
414k
    3250752152U,  // SST1D_SXTW
7718
414k
    3250752152U,  // SST1D_SXTW_SCALED
7719
414k
    3250752152U,  // SST1D_UXTW
7720
414k
    3250752152U,  // SST1D_UXTW_SCALED
7721
414k
    3250752738U,  // SST1H_D
7722
414k
    3250752738U,  // SST1H_D_IMM
7723
414k
    3250752738U,  // SST1H_D_SCALED
7724
414k
    3250752738U,  // SST1H_D_SXTW
7725
414k
    3250752738U,  // SST1H_D_SXTW_SCALED
7726
414k
    3250752738U,  // SST1H_D_UXTW
7727
414k
    3250752738U,  // SST1H_D_UXTW_SCALED
7728
414k
    3250785506U,  // SST1H_S_IMM
7729
414k
    3250785506U,  // SST1H_S_SXTW
7730
414k
    3250785506U,  // SST1H_S_SXTW_SCALED
7731
414k
    3250785506U,  // SST1H_S_UXTW
7732
414k
    3250785506U,  // SST1H_S_UXTW_SCALED
7733
414k
    3251083072U,  // SST1Q
7734
414k
    3250757378U,  // SST1W_D
7735
414k
    3250757378U,  // SST1W_D_IMM
7736
414k
    3250757378U,  // SST1W_D_SCALED
7737
414k
    3250757378U,  // SST1W_D_SXTW
7738
414k
    3250757378U,  // SST1W_D_SXTW_SCALED
7739
414k
    3250757378U,  // SST1W_D_UXTW
7740
414k
    3250757378U,  // SST1W_D_UXTW_SCALED
7741
414k
    3250790146U,  // SST1W_IMM
7742
414k
    3250790146U,  // SST1W_SXTW
7743
414k
    3250790146U,  // SST1W_SXTW_SCALED
7744
414k
    3250790146U,  // SST1W_UXTW
7745
414k
    3250790146U,  // SST1W_UXTW_SCALED
7746
414k
    270588563U, // SSUBLBT_ZZZ_D
7747
414k
    2197887635U,  // SSUBLBT_ZZZ_H
7748
414k
    1881234067U,  // SSUBLBT_ZZZ_S
7749
414k
    270583474U, // SSUBLB_ZZZ_D
7750
414k
    2197882546U,  // SSUBLB_ZZZ_H
7751
414k
    1881228978U,  // SSUBLB_ZZZ_S
7752
414k
    270584138U, // SSUBLTB_ZZZ_D
7753
414k
    2197883210U,  // SSUBLTB_ZZZ_H
7754
414k
    1881229642U,  // SSUBLTB_ZZZ_S
7755
414k
    270588753U, // SSUBLT_ZZZ_D
7756
414k
    2197887825U,  // SSUBLT_ZZZ_H
7757
414k
    1881234257U,  // SSUBLT_ZZZ_S
7758
414k
    822182144U, // SSUBLv16i8_v8i16
7759
414k
    815894978U, // SSUBLv2i32_v2i64
7760
414k
    820089282U, // SSUBLv4i16_v4i32
7761
414k
    815890688U, // SSUBLv4i32_v2i64
7762
414k
    820084992U, // SSUBLv8i16_v4i32
7763
414k
    822186434U, // SSUBLv8i8_v8i16
7764
414k
    2418067902U,  // SSUBWB_ZZZ_D
7765
414k
    2189494718U,  // SSUBWB_ZZZ_H
7766
414k
    270617022U, // SSUBWB_ZZZ_S
7767
414k
    2418072931U,  // SSUBWT_ZZZ_D
7768
414k
    2189499747U,  // SSUBWT_ZZZ_H
7769
414k
    270622051U, // SSUBWT_ZZZ_S
7770
414k
    822182476U, // SSUBWv16i8_v8i16
7771
414k
    815898420U, // SSUBWv2i32_v2i64
7772
414k
    820092724U, // SSUBWv4i16_v4i32
7773
414k
    815891020U, // SSUBWv4i32_v2i64
7774
414k
    820085324U, // SSUBWv8i16_v4i32
7775
414k
    822189876U, // SSUBWv8i8_v8i16
7776
414k
    3250734321U,  // ST1B
7777
414k
    3315746033U,  // ST1B_2Z
7778
414k
    3315746033U,  // ST1B_2Z_IMM
7779
414k
    2150139121U,  // ST1B_2Z_STRIDED
7780
414k
    2150139121U,  // ST1B_2Z_STRIDED_IMM
7781
414k
    3315746033U,  // ST1B_4Z
7782
414k
    3315746033U,  // ST1B_4Z_IMM
7783
414k
    3315746033U,  // ST1B_4Z_STRIDED
7784
414k
    3315746033U,  // ST1B_4Z_STRIDED_IMM
7785
414k
    3250750705U,  // ST1B_D
7786
414k
    3250750705U,  // ST1B_D_IMM
7787
414k
    3250767089U,  // ST1B_H
7788
414k
    3250767089U,  // ST1B_H_IMM
7789
414k
    3250734321U,  // ST1B_IMM
7790
414k
    3250783473U,  // ST1B_S
7791
414k
    3250783473U,  // ST1B_S_IMM
7792
414k
    3250752152U,  // ST1D
7793
414k
    3315763864U,  // ST1D_2Z
7794
414k
    3315763864U,  // ST1D_2Z_IMM
7795
414k
    3315763864U,  // ST1D_2Z_STRIDED
7796
414k
    3315763864U,  // ST1D_2Z_STRIDED_IMM
7797
414k
    3315763864U,  // ST1D_4Z
7798
414k
    3315763864U,  // ST1D_4Z_IMM
7799
414k
    3315763864U,  // ST1D_4Z_STRIDED
7800
414k
    3315763864U,  // ST1D_4Z_STRIDED_IMM
7801
414k
    3250752152U,  // ST1D_IMM
7802
414k
    3251079832U,  // ST1D_Q
7803
414k
    3251079832U,  // ST1D_Q_IMM
7804
414k
    573554U,  // ST1Fourv16b
7805
414k
    97058930U,  // ST1Fourv16b_POST
7806
414k
    606322U,  // ST1Fourv1d
7807
414k
    99188850U,  // ST1Fourv1d_POST
7808
414k
    639090U,  // ST1Fourv2d
7809
414k
    97124466U,  // ST1Fourv2d_POST
7810
414k
    671858U,  // ST1Fourv2s
7811
414k
    99254386U,  // ST1Fourv2s_POST
7812
414k
    704626U,  // ST1Fourv4h
7813
414k
    99287154U,  // ST1Fourv4h_POST
7814
414k
    737394U,  // ST1Fourv4s
7815
414k
    97222770U,  // ST1Fourv4s_POST
7816
414k
    770162U,  // ST1Fourv8b
7817
414k
    99352690U,  // ST1Fourv8b_POST
7818
414k
    802930U,  // ST1Fourv8h
7819
414k
    97288306U,  // ST1Fourv8h_POST
7820
414k
    3250769122U,  // ST1H
7821
414k
    3315780834U,  // ST1H_2Z
7822
414k
    3315780834U,  // ST1H_2Z_IMM
7823
414k
    2150419682U,  // ST1H_2Z_STRIDED
7824
414k
    2150419682U,  // ST1H_2Z_STRIDED_IMM
7825
414k
    3315780834U,  // ST1H_4Z
7826
414k
    3315780834U,  // ST1H_4Z_IMM
7827
414k
    3315780834U,  // ST1H_4Z_STRIDED
7828
414k
    3315780834U,  // ST1H_4Z_STRIDED_IMM
7829
414k
    3250752738U,  // ST1H_D
7830
414k
    3250752738U,  // ST1H_D_IMM
7831
414k
    3250769122U,  // ST1H_IMM
7832
414k
    3250785506U,  // ST1H_S
7833
414k
    3250785506U,  // ST1H_S_IMM
7834
414k
    573554U,  // ST1Onev16b
7835
414k
    101253234U, // ST1Onev16b_POST
7836
414k
    606322U,  // ST1Onev1d
7837
414k
    103383154U, // ST1Onev1d_POST
7838
414k
    639090U,  // ST1Onev2d
7839
414k
    101318770U, // ST1Onev2d_POST
7840
414k
    671858U,  // ST1Onev2s
7841
414k
    103448690U, // ST1Onev2s_POST
7842
414k
    704626U,  // ST1Onev4h
7843
414k
    103481458U, // ST1Onev4h_POST
7844
414k
    737394U,  // ST1Onev4s
7845
414k
    101417074U, // ST1Onev4s_POST
7846
414k
    770162U,  // ST1Onev8b
7847
414k
    103546994U, // ST1Onev8b_POST
7848
414k
    802930U,  // ST1Onev8h
7849
414k
    101482610U, // ST1Onev8h_POST
7850
414k
    573554U,  // ST1Threev16b
7851
414k
    111738994U, // ST1Threev16b_POST
7852
414k
    606322U,  // ST1Threev1d
7853
414k
    113868914U, // ST1Threev1d_POST
7854
414k
    639090U,  // ST1Threev2d
7855
414k
    111804530U, // ST1Threev2d_POST
7856
414k
    671858U,  // ST1Threev2s
7857
414k
    113934450U, // ST1Threev2s_POST
7858
414k
    704626U,  // ST1Threev4h
7859
414k
    113967218U, // ST1Threev4h_POST
7860
414k
    737394U,  // ST1Threev4s
7861
414k
    111902834U, // ST1Threev4s_POST
7862
414k
    770162U,  // ST1Threev8b
7863
414k
    114032754U, // ST1Threev8b_POST
7864
414k
    802930U,  // ST1Threev8h
7865
414k
    111968370U, // ST1Threev8h_POST
7866
414k
    573554U,  // ST1Twov16b
7867
414k
    99156082U,  // ST1Twov16b_POST
7868
414k
    606322U,  // ST1Twov1d
7869
414k
    101286002U, // ST1Twov1d_POST
7870
414k
    639090U,  // ST1Twov2d
7871
414k
    99221618U,  // ST1Twov2d_POST
7872
414k
    671858U,  // ST1Twov2s
7873
414k
    101351538U, // ST1Twov2s_POST
7874
414k
    704626U,  // ST1Twov4h
7875
414k
    101384306U, // ST1Twov4h_POST
7876
414k
    737394U,  // ST1Twov4s
7877
414k
    99319922U,  // ST1Twov4s_POST
7878
414k
    770162U,  // ST1Twov8b
7879
414k
    101449842U, // ST1Twov8b_POST
7880
414k
    802930U,  // ST1Twov8h
7881
414k
    99385458U,  // ST1Twov8h_POST
7882
414k
    3250790146U,  // ST1W
7883
414k
    3315801858U,  // ST1W_2Z
7884
414k
    3315801858U,  // ST1W_2Z_IMM
7885
414k
    3315801858U,  // ST1W_2Z_STRIDED
7886
414k
    3315801858U,  // ST1W_2Z_STRIDED_IMM
7887
414k
    3315801858U,  // ST1W_4Z
7888
414k
    3315801858U,  // ST1W_4Z_IMM
7889
414k
    3315801858U,  // ST1W_4Z_STRIDED
7890
414k
    3315801858U,  // ST1W_4Z_STRIDED_IMM
7891
414k
    3250757378U,  // ST1W_D
7892
414k
    3250757378U,  // ST1W_D_IMM
7893
414k
    3250790146U,  // ST1W_IMM
7894
414k
    3251085058U,  // ST1W_Q
7895
414k
    3251085058U,  // ST1W_Q_IMM
7896
414k
    2208835591U,  // ST1_MXIPXX_H_B
7897
414k
    2208835605U,  // ST1_MXIPXX_H_D
7898
414k
    2208835619U,  // ST1_MXIPXX_H_H
7899
414k
    2208835633U,  // ST1_MXIPXX_H_Q
7900
414k
    2208835647U,  // ST1_MXIPXX_H_S
7901
414k
    2208851975U,  // ST1_MXIPXX_V_B
7902
414k
    2208851989U,  // ST1_MXIPXX_V_D
7903
414k
    2208852003U,  // ST1_MXIPXX_V_H
7904
414k
    2208852017U,  // ST1_MXIPXX_V_Q
7905
414k
    2208852031U,  // ST1_MXIPXX_V_S
7906
414k
    174899314U, // ST1i16
7907
414k
    3666673778U,  // ST1i16_POST
7908
414k
    1228914U, // ST1i32
7909
414k
    3935142002U,  // ST1i32_POST
7910
414k
    1245298U, // ST1i64
7911
414k
    4203610226U,  // ST1i64_POST
7912
414k
    174620786U, // ST1i8
7913
414k
    177111154U, // ST1i8_POST
7914
414k
    3250734350U,  // ST2B
7915
414k
    3250734350U,  // ST2B_IMM
7916
414k
    3250752164U,  // ST2D
7917
414k
    3250752164U,  // ST2D_IMM
7918
414k
    849661034U, // ST2GPostIndex
7919
414k
    849661034U, // ST2GPreIndex
7920
414k
    44059754U,  // ST2Gi
7921
414k
    3250769151U,  // ST2H
7922
414k
    3250769151U,  // ST2H_IMM
7923
414k
    3251083084U,  // ST2Q
7924
414k
    3251083084U,  // ST2Q_IMM
7925
414k
    574023U,  // ST2Twov16b
7926
414k
    99156551U,  // ST2Twov16b_POST
7927
414k
    639559U,  // ST2Twov2d
7928
414k
    99222087U,  // ST2Twov2d_POST
7929
414k
    672327U,  // ST2Twov2s
7930
414k
    101352007U, // ST2Twov2s_POST
7931
414k
    705095U,  // ST2Twov4h
7932
414k
    101384775U, // ST2Twov4h_POST
7933
414k
    737863U,  // ST2Twov4s
7934
414k
    99320391U,  // ST2Twov4s_POST
7935
414k
    770631U,  // ST2Twov8b
7936
414k
    101450311U, // ST2Twov8b_POST
7937
414k
    803399U,  // ST2Twov8h
7938
414k
    99385927U,  // ST2Twov8h_POST
7939
414k
    3250790166U,  // ST2W
7940
414k
    3250790166U,  // ST2W_IMM
7941
414k
    174899783U, // ST2i16
7942
414k
    3935109703U,  // ST2i16_POST
7943
414k
    1229383U, // ST2i32
7944
414k
    4203577927U,  // ST2i32_POST
7945
414k
    1245767U, // ST2i64
7946
414k
    445514311U, // ST2i64_POST
7947
414k
    174621255U, // ST2i8
7948
414k
    3666772551U,  // ST2i8_POST
7949
414k
    3250734371U,  // ST3B
7950
414k
    3250734371U,  // ST3B_IMM
7951
414k
    3250752176U,  // ST3D
7952
414k
    3250752176U,  // ST3D_IMM
7953
414k
    3250769163U,  // ST3H
7954
414k
    3250769163U,  // ST3H_IMM
7955
414k
    3251083096U,  // ST3Q
7956
414k
    3251083096U,  // ST3Q_IMM
7957
414k
    574089U,  // ST3Threev16b
7958
414k
    111739529U, // ST3Threev16b_POST
7959
414k
    639625U,  // ST3Threev2d
7960
414k
    111805065U, // ST3Threev2d_POST
7961
414k
    672393U,  // ST3Threev2s
7962
414k
    113934985U, // ST3Threev2s_POST
7963
414k
    705161U,  // ST3Threev4h
7964
414k
    113967753U, // ST3Threev4h_POST
7965
414k
    737929U,  // ST3Threev4s
7966
414k
    111903369U, // ST3Threev4s_POST
7967
414k
    770697U,  // ST3Threev8b
7968
414k
    114033289U, // ST3Threev8b_POST
7969
414k
    803465U,  // ST3Threev8h
7970
414k
    111968905U, // ST3Threev8h_POST
7971
414k
    3250790178U,  // ST3W
7972
414k
    3250790178U,  // ST3W_IMM
7973
414k
    174899849U, // ST3i16
7974
414k
    713884297U, // ST3i16_POST
7975
414k
    1229449U, // ST3i32
7976
414k
    982352521U, // ST3i32_POST
7977
414k
    1245833U, // ST3i64
7978
414k
    1250820745U,  // ST3i64_POST
7979
414k
    174621321U, // ST3i8
7980
414k
    1519288969U,  // ST3i8_POST
7981
414k
    3250734397U,  // ST4B
7982
414k
    3250734397U,  // ST4B_IMM
7983
414k
    3250752188U,  // ST4D
7984
414k
    3250752188U,  // ST4D_IMM
7985
414k
    574113U,  // ST4Fourv16b
7986
414k
    97059489U,  // ST4Fourv16b_POST
7987
414k
    639649U,  // ST4Fourv2d
7988
414k
    97125025U,  // ST4Fourv2d_POST
7989
414k
    672417U,  // ST4Fourv2s
7990
414k
    99254945U,  // ST4Fourv2s_POST
7991
414k
    705185U,  // ST4Fourv4h
7992
414k
    99287713U,  // ST4Fourv4h_POST
7993
414k
    737953U,  // ST4Fourv4s
7994
414k
    97223329U,  // ST4Fourv4s_POST
7995
414k
    770721U,  // ST4Fourv8b
7996
414k
    99353249U,  // ST4Fourv8b_POST
7997
414k
    803489U,  // ST4Fourv8h
7998
414k
    97288865U,  // ST4Fourv8h_POST
7999
414k
    3250769175U,  // ST4H
8000
414k
    3250769175U,  // ST4H_IMM
8001
414k
    3251083108U,  // ST4Q
8002
414k
    3251083108U,  // ST4Q_IMM
8003
414k
    3250790190U,  // ST4W
8004
414k
    3250790190U,  // ST4W_IMM
8005
414k
    174899873U, // ST4i16
8006
414k
    4203545249U,  // ST4i16_POST
8007
414k
    1229473U, // ST4i32
8008
414k
    445481633U, // ST4i32_POST
8009
414k
    1245857U, // ST4i64
8010
414k
    1787691681U,  // ST4i64_POST
8011
414k
    174621345U, // ST4i8
8012
414k
    3935208097U,  // ST4i8_POST
8013
414k
    984368U,  // ST64B
8014
414k
    1881169367U,  // ST64BV
8015
414k
    1881161760U,  // ST64BV0
8016
414k
    44061769U,  // STGM
8017
414k
    2119131U, // STGPi
8018
414k
    849661098U, // STGPostIndex
8019
414k
    807720411U, // STGPpost
8020
414k
    807720411U, // STGPpre
8021
414k
    849661098U, // STGPreIndex
8022
414k
    44059818U,  // STGi
8023
414k
    2119174U, // STILPW
8024
414k
    807720454U, // STILPWpre
8025
414k
    2119174U, // STILPX
8026
414k
    807720454U, // STILPXpre
8027
414k
    1245230U, // STL1
8028
414k
    44058725U,  // STLLRB
8029
414k
    44060415U,  // STLLRH
8030
414k
    44062843U,  // STLLRW
8031
414k
    44062843U,  // STLLRX
8032
414k
    44058733U,  // STLRB
8033
414k
    44060423U,  // STLRH
8034
414k
    44062856U,  // STLRW
8035
414k
    849664136U, // STLRWpre
8036
414k
    44062856U,  // STLRX
8037
414k
    849664136U, // STLRXpre
8038
414k
    44058783U,  // STLURBi
8039
414k
    44060473U,  // STLURHi
8040
414k
    44062965U,  // STLURWi
8041
414k
    44062965U,  // STLURXi
8042
414k
    44062965U,  // STLURbi
8043
414k
    44062965U,  // STLURdi
8044
414k
    44062965U,  // STLURhi
8045
414k
    44062965U,  // STLURqi
8046
414k
    44062965U,  // STLURsi
8047
414k
    2119464U, // STLXPW
8048
414k
    2119464U, // STLXPX
8049
414k
    2115782U, // STLXRB
8050
414k
    2117472U, // STLXRH
8051
414k
    2119989U, // STLXRW
8052
414k
    2119989U, // STLXRX
8053
414k
    2119264U, // STNPDi
8054
414k
    2119264U, // STNPQi
8055
414k
    2119264U, // STNPSi
8056
414k
    2119264U, // STNPWi
8057
414k
    2119264U, // STNPXi
8058
414k
    3315746025U,  // STNT1B_2Z
8059
414k
    3315746025U,  // STNT1B_2Z_IMM
8060
414k
    2150139113U,  // STNT1B_2Z_STRIDED
8061
414k
    2150139113U,  // STNT1B_2Z_STRIDED_IMM
8062
414k
    3315746025U,  // STNT1B_4Z
8063
414k
    3315746025U,  // STNT1B_4Z_IMM
8064
414k
    3315746025U,  // STNT1B_4Z_STRIDED
8065
414k
    3315746025U,  // STNT1B_4Z_STRIDED_IMM
8066
414k
    3250734313U,  // STNT1B_ZRI
8067
414k
    3250734313U,  // STNT1B_ZRR
8068
414k
    3250750697U,  // STNT1B_ZZR_D_REAL
8069
414k
    3250783465U,  // STNT1B_ZZR_S_REAL
8070
414k
    3315763856U,  // STNT1D_2Z
8071
414k
    3315763856U,  // STNT1D_2Z_IMM
8072
414k
    3315763856U,  // STNT1D_2Z_STRIDED
8073
414k
    3315763856U,  // STNT1D_2Z_STRIDED_IMM
8074
414k
    3315763856U,  // STNT1D_4Z
8075
414k
    3315763856U,  // STNT1D_4Z_IMM
8076
414k
    3315763856U,  // STNT1D_4Z_STRIDED
8077
414k
    3315763856U,  // STNT1D_4Z_STRIDED_IMM
8078
414k
    3250752144U,  // STNT1D_ZRI
8079
414k
    3250752144U,  // STNT1D_ZRR
8080
414k
    3250752144U,  // STNT1D_ZZR_D_REAL
8081
414k
    3315780826U,  // STNT1H_2Z
8082
414k
    3315780826U,  // STNT1H_2Z_IMM
8083
414k
    2150419674U,  // STNT1H_2Z_STRIDED
8084
414k
    2150419674U,  // STNT1H_2Z_STRIDED_IMM
8085
414k
    3315780826U,  // STNT1H_4Z
8086
414k
    3315780826U,  // STNT1H_4Z_IMM
8087
414k
    3315780826U,  // STNT1H_4Z_STRIDED
8088
414k
    3315780826U,  // STNT1H_4Z_STRIDED_IMM
8089
414k
    3250769114U,  // STNT1H_ZRI
8090
414k
    3250769114U,  // STNT1H_ZRR
8091
414k
    3250752730U,  // STNT1H_ZZR_D_REAL
8092
414k
    3250785498U,  // STNT1H_ZZR_S_REAL
8093
414k
    3315801850U,  // STNT1W_2Z
8094
414k
    3315801850U,  // STNT1W_2Z_IMM
8095
414k
    3315801850U,  // STNT1W_2Z_STRIDED
8096
414k
    3315801850U,  // STNT1W_2Z_STRIDED_IMM
8097
414k
    3315801850U,  // STNT1W_4Z
8098
414k
    3315801850U,  // STNT1W_4Z_IMM
8099
414k
    3315801850U,  // STNT1W_4Z_STRIDED
8100
414k
    3315801850U,  // STNT1W_4Z_STRIDED_IMM
8101
414k
    3250790138U,  // STNT1W_ZRI
8102
414k
    3250790138U,  // STNT1W_ZRR
8103
414k
    3250757370U,  // STNT1W_ZZR_D_REAL
8104
414k
    3250790138U,  // STNT1W_ZZR_S_REAL
8105
414k
    2119402U, // STPDi
8106
414k
    807720682U, // STPDpost
8107
414k
    807720682U, // STPDpre
8108
414k
    2119402U, // STPQi
8109
414k
    807720682U, // STPQpost
8110
414k
    807720682U, // STPQpre
8111
414k
    2119402U, // STPSi
8112
414k
    807720682U, // STPSpost
8113
414k
    807720682U, // STPSpre
8114
414k
    2119402U, // STPWi
8115
414k
    807720682U, // STPWpost
8116
414k
    807720682U, // STPWpre
8117
414k
    2119402U, // STPXi
8118
414k
    807720682U, // STPXpost
8119
414k
    807720682U, // STPXpre
8120
414k
    849660043U, // STRBBpost
8121
414k
    849660043U, // STRBBpre
8122
414k
    44058763U,  // STRBBroW
8123
414k
    44058763U,  // STRBBroX
8124
414k
    44058763U,  // STRBBui
8125
414k
    849664219U, // STRBpost
8126
414k
    849664219U, // STRBpre
8127
414k
    44062939U,  // STRBroW
8128
414k
    44062939U,  // STRBroX
8129
414k
    44062939U,  // STRBui
8130
414k
    849664219U, // STRDpost
8131
414k
    849664219U, // STRDpre
8132
414k
    44062939U,  // STRDroW
8133
414k
    44062939U,  // STRDroX
8134
414k
    44062939U,  // STRDui
8135
414k
    849661733U, // STRHHpost
8136
414k
    849661733U, // STRHHpre
8137
414k
    44060453U,  // STRHHroW
8138
414k
    44060453U,  // STRHHroX
8139
414k
    44060453U,  // STRHHui
8140
414k
    849664219U, // STRHpost
8141
414k
    849664219U, // STRHpre
8142
414k
    44062939U,  // STRHroW
8143
414k
    44062939U,  // STRHroX
8144
414k
    44062939U,  // STRHui
8145
414k
    849664219U, // STRQpost
8146
414k
    849664219U, // STRQpre
8147
414k
    44062939U,  // STRQroW
8148
414k
    44062939U,  // STRQroX
8149
414k
    44062939U,  // STRQui
8150
414k
    849664219U, // STRSpost
8151
414k
    849664219U, // STRSpre
8152
414k
    44062939U,  // STRSroW
8153
414k
    44062939U,  // STRSroX
8154
414k
    44062939U,  // STRSui
8155
414k
    849664219U, // STRWpost
8156
414k
    849664219U, // STRWpre
8157
414k
    44062939U,  // STRWroW
8158
414k
    44062939U,  // STRWroX
8159
414k
    44062939U,  // STRWui
8160
414k
    849664219U, // STRXpost
8161
414k
    849664219U, // STRXpre
8162
414k
    44062939U,  // STRXroW
8163
414k
    44062939U,  // STRXroX
8164
414k
    44062939U,  // STRXui
8165
414k
    45062363U,  // STR_PXI
8166
414k
    44062939U,  // STR_TX
8167
414k
    1038555U, // STR_ZA
8168
414k
    45062363U,  // STR_ZXI
8169
414k
    44058769U,  // STTRBi
8170
414k
    44060459U,  // STTRHi
8171
414k
    44062947U,  // STTRWi
8172
414k
    44062947U,  // STTRXi
8173
414k
    44058800U,  // STURBBi
8174
414k
    44062980U,  // STURBi
8175
414k
    44062980U,  // STURDi
8176
414k
    44060490U,  // STURHHi
8177
414k
    44062980U,  // STURHi
8178
414k
    44062980U,  // STURQi
8179
414k
    44062980U,  // STURSi
8180
414k
    44062980U,  // STURWi
8181
414k
    44062980U,  // STURXi
8182
414k
    2119471U, // STXPW
8183
414k
    2119471U, // STXPX
8184
414k
    2115790U, // STXRB
8185
414k
    2117480U, // STXRH
8186
414k
    2119996U, // STXRW
8187
414k
    2119996U, // STXRX
8188
414k
    849661040U, // STZ2GPostIndex
8189
414k
    849661040U, // STZ2GPreIndex
8190
414k
    44059760U,  // STZ2Gi
8191
414k
    44061775U,  // STZGM
8192
414k
    849661103U, // STZGPostIndex
8193
414k
    849661103U, // STZGPreIndex
8194
414k
    44059823U,  // STZGi
8195
414k
    2116727U, // SUBG
8196
414k
    1881180069U,  // SUBHNB_ZZZ_B
8197
414k
    2172716965U,  // SUBHNB_ZZZ_H
8198
414k
    2418100133U,  // SUBHNB_ZZZ_S
8199
414k
    2686491686U,  // SUBHNT_ZZZ_B
8200
414k
    2174819366U,  // SUBHNT_ZZZ_H
8201
414k
    1075928102U,  // SUBHNT_ZZZ_S
8202
414k
    813798559U, // SUBHNv2i64_v2i32
8203
414k
    2967601569U,  // SUBHNv2i64_v4i32
8204
414k
    817992863U, // SUBHNv4i32_v4i16
8205
414k
    2969698721U,  // SUBHNv4i32_v8i16
8206
414k
    2959212961U,  // SUBHNv8i16_v16i8
8207
414k
    824284319U, // SUBHNv8i16_v8i8
8208
414k
    2119075U, // SUBP
8209
414k
    2120206U, // SUBPS
8210
414k
    2120932U, // SUBPT_shift
8211
414k
    2136004U, // SUBR_ZI_B
8212
414k
    2418071492U,  // SUBR_ZI_D
8213
414k
    2189498308U,  // SUBR_ZI_H
8214
414k
    270620612U, // SUBR_ZI_S
8215
414k
    3223361476U,  // SUBR_ZPmZ_B
8216
414k
    3223377860U,  // SUBR_ZPmZ_D
8217
414k
    3519092676U,  // SUBR_ZPmZ_H
8218
414k
    3223410628U,  // SUBR_ZPmZ_S
8219
414k
    2120070U, // SUBSWri
8220
414k
    2120070U, // SUBSWrs
8221
414k
    2120070U, // SUBSWrx
8222
414k
    2120070U, // SUBSXri
8223
414k
    2120070U, // SUBSXrs
8224
414k
    2120070U, // SUBSXrx
8225
414k
    2120070U, // SUBSXrx64
8226
414k
    2115976U, // SUBWri
8227
414k
    2115976U, // SUBWrs
8228
414k
    2115976U, // SUBWrx
8229
414k
    2115976U, // SUBXri
8230
414k
    2115976U, // SUBXrs
8231
414k
    2115976U, // SUBXrx
8232
414k
    2115976U, // SUBXrx64
8233
414k
    3798157704U,  // SUB_VG2_M2Z2Z_D
8234
414k
    3798174088U,  // SUB_VG2_M2Z2Z_S
8235
414k
    3798157704U,  // SUB_VG2_M2ZZ_D
8236
414k
    3798174088U,  // SUB_VG2_M2ZZ_S
8237
414k
    3798157704U,  // SUB_VG2_M2Z_D
8238
414k
    3798174088U,  // SUB_VG2_M2Z_S
8239
414k
    4066593160U,  // SUB_VG4_M4Z4Z_D
8240
414k
    4066609544U,  // SUB_VG4_M4Z4Z_S
8241
414k
    4066593160U,  // SUB_VG4_M4ZZ_D
8242
414k
    4066609544U,  // SUB_VG4_M4ZZ_S
8243
414k
    4066593160U,  // SUB_VG4_M4Z_D
8244
414k
    4066609544U,  // SUB_VG4_M4Z_S
8245
414k
    2132360U, // SUB_ZI_B
8246
414k
    2418067848U,  // SUB_ZI_D
8247
414k
    2189494664U,  // SUB_ZI_H
8248
414k
    270616968U, // SUB_ZI_S
8249
414k
    3223357832U,  // SUB_ZPmZ_B
8250
414k
    3223379172U,  // SUB_ZPmZ_CPA
8251
414k
    3223374216U,  // SUB_ZPmZ_D
8252
414k
    3519089032U,  // SUB_ZPmZ_H
8253
414k
    3223406984U,  // SUB_ZPmZ_S
8254
414k
    2132360U, // SUB_ZZZ_B
8255
414k
    2418072804U,  // SUB_ZZZ_CPA
8256
414k
    2418067848U,  // SUB_ZZZ_D
8257
414k
    2189494664U,  // SUB_ZZZ_H
8258
414k
    270616968U, // SUB_ZZZ_S
8259
414k
    811698568U, // SUBv16i8
8260
414k
    2115976U, // SUBv1i64
8261
414k
    813795720U, // SUBv2i32
8262
414k
    815892872U, // SUBv2i64
8263
414k
    817990024U, // SUBv4i16
8264
414k
    820087176U, // SUBv4i32
8265
414k
    822184328U, // SUBv8i16
8266
414k
    824281480U, // SUBv8i8
8267
414k
    3798178999U,  // SUDOT_VG2_M2ZZI_BToS
8268
414k
    3798178999U,  // SUDOT_VG2_M2ZZ_BToS
8269
414k
    4066614455U,  // SUDOT_VG4_M4ZZI_BToS
8270
414k
    4066614455U,  // SUDOT_VG4_M4ZZ_BToS
8271
414k
    2418105527U,  // SUDOT_ZZZI
8272
414k
    2967608503U,  // SUDOTlanev16i8
8273
414k
    2961317047U,  // SUDOTlanev8i8
8274
414k
    1688441451U,  // SUMLALL_MZZI_BtoS
8275
414k
    3835925099U,  // SUMLALL_VG2_M2ZZI_BtoS
8276
414k
    4104360555U,  // SUMLALL_VG2_M2ZZ_BtoS
8277
414k
    4104360555U,  // SUMLALL_VG4_M4ZZI_BtoS
8278
414k
    77828715U,  // SUMLALL_VG4_M4ZZ_BtoS
8279
414k
    54641545U,  // SUMOPA_MPPZZ_D
8280
414k
    79807369U,  // SUMOPA_MPPZZ_S
8281
414k
    54647348U,  // SUMOPS_MPPZZ_D
8282
414k
    79813172U,  // SUMOPS_MPPZZ_S
8283
414k
    270585877U, // SUNPKHI_ZZ_D
8284
414k
    1661014037U,  // SUNPKHI_ZZ_H
8285
414k
    1881231381U,  // SUNPKHI_ZZ_S
8286
414k
    270587254U, // SUNPKLO_ZZ_D
8287
414k
    1661015414U,  // SUNPKLO_ZZ_H
8288
414k
    1881232758U,  // SUNPKLO_ZZ_S
8289
414k
    1635946583U,  // SUNPK_VG2_2ZZ_D
8290
414k
    1661128791U,  // SUNPK_VG2_2ZZ_H
8291
414k
    1652756567U,  // SUNPK_VG2_2ZZ_S
8292
414k
    1648529495U,  // SUNPK_VG4_4Z2Z_D
8293
414k
    1642254423U,  // SUNPK_VG4_4Z2Z_H
8294
414k
    1646465111U,  // SUNPK_VG4_4Z2Z_S
8295
414k
    3223358305U,  // SUQADD_ZPmZ_B
8296
414k
    3223374689U,  // SUQADD_ZPmZ_D
8297
414k
    3519089505U,  // SUQADD_ZPmZ_H
8298
414k
    3223407457U,  // SUQADD_ZPmZ_S
8299
414k
    2959215457U,  // SUQADDv16i8
8300
414k
    807717729U, // SUQADDv1i16
8301
414k
    807717729U, // SUQADDv1i32
8302
414k
    807717729U, // SUQADDv1i64
8303
414k
    807717729U, // SUQADDv1i8
8304
414k
    2961312609U,  // SUQADDv2i32
8305
414k
    2963409761U,  // SUQADDv2i64
8306
414k
    2965506913U,  // SUQADDv4i16
8307
414k
    2967604065U,  // SUQADDv4i32
8308
414k
    2969701217U,  // SUQADDv8i16
8309
414k
    2971798369U,  // SUQADDv8i8
8310
414k
    4066614478U,  // SUVDOT_VG4_M4ZZI_BToS
8311
414k
    379501U,  // SVC
8312
414k
    3798179015U,  // SVDOT_VG2_M2ZZI_HtoS
8313
414k
    4066614471U,  // SVDOT_VG4_M4ZZI_BtoS
8314
414k
    4066598087U,  // SVDOT_VG4_M4ZZI_HtoD
8315
414k
    2418328928U,  // SWPAB
8316
414k
    2418330968U,  // SWPAH
8317
414k
    2418329198U,  // SWPALB
8318
414k
    2418331124U,  // SWPALH
8319
414k
    2418331950U,  // SWPALW
8320
414k
    2418331950U,  // SWPALX
8321
414k
    2418328571U,  // SWPAW
8322
414k
    2418328571U,  // SWPAX
8323
414k
    2418329651U,  // SWPB
8324
414k
    2418331341U,  // SWPH
8325
414k
    2418329407U,  // SWPLB
8326
414k
    2418331221U,  // SWPLH
8327
414k
    2418332475U,  // SWPLW
8328
414k
    2418332475U,  // SWPLX
8329
414k
    271537778U, // SWPP
8330
414k
    271532949U, // SWPPA
8331
414k
    271536318U, // SWPPAL
8332
414k
    271536845U, // SWPPL
8333
414k
    2418333433U,  // SWPW
8334
414k
    2418333433U,  // SWPX
8335
414k
    270584186U, // SXTB_ZPmZ_D
8336
414k
    541133178U, // SXTB_ZPmZ_H
8337
414k
    270616954U, // SXTB_ZPmZ_S
8338
414k
    270585816U, // SXTH_ZPmZ_D
8339
414k
    270618584U, // SXTH_ZPmZ_S
8340
414k
    270589943U, // SXTW_ZPmZ_D
8341
414k
    2118565U, // SYSLxt
8342
414k
    2149603003U,  // SYSPxt
8343
414k
    2149603003U,  // SYSPxt_XZR
8344
414k
    2149603954U,  // SYSxt
8345
414k
    2686490497U,  // TBLQ_ZZZ_B
8346
414k
    2418071425U,  // TBLQ_ZZZ_D
8347
414k
    2183206785U,  // TBLQ_ZZZ_H
8348
414k
    3223410561U,  // TBLQ_ZZZ_S
8349
414k
    2686489005U,  // TBL_ZZZZ_B
8350
414k
    2418069933U,  // TBL_ZZZZ_D
8351
414k
    2183205293U,  // TBL_ZZZZ_H
8352
414k
    3223409069U,  // TBL_ZZZZ_S
8353
414k
    2686489005U,  // TBL_ZZZ_B
8354
414k
    2418069933U,  // TBL_ZZZ_D
8355
414k
    2183205293U,  // TBL_ZZZ_H
8356
414k
    3223409069U,  // TBL_ZZZ_S
8357
414k
    2690748845U,  // TBLv16i8Four
8358
414k
    2690748845U,  // TBLv16i8One
8359
414k
    2690748845U,  // TBLv16i8Three
8360
414k
    2690748845U,  // TBLv16i8Two
8361
414k
    2703331757U,  // TBLv8i8Four
8362
414k
    2703331757U,  // TBLv8i8One
8363
414k
    2703331757U,  // TBLv8i8Three
8364
414k
    2703331757U,  // TBLv8i8Two
8365
414k
    2121961U, // TBNZW
8366
414k
    2121961U, // TBNZX
8367
414k
    2418055059U,  // TBXQ_ZZZ_B
8368
414k
    1075894163U,  // TBXQ_ZZZ_D
8369
414k
    2195789715U,  // TBXQ_ZZZ_H
8370
414k
    1344362387U,  // TBXQ_ZZZ_S
8371
414k
    2418057289U,  // TBX_ZZZ_B
8372
414k
    1075896393U,  // TBX_ZZZ_D
8373
414k
    2195791945U,  // TBX_ZZZ_H
8374
414k
    1344364617U,  // TBX_ZZZ_S
8375
414k
    2690785353U,  // TBXv16i8Four
8376
414k
    2690785353U,  // TBXv16i8One
8377
414k
    2690785353U,  // TBXv16i8Three
8378
414k
    2690785353U,  // TBXv16i8Two
8379
414k
    2703368265U,  // TBXv8i8Four
8380
414k
    2703368265U,  // TBXv8i8One
8381
414k
    2703368265U,  // TBXv8i8Three
8382
414k
    2703368265U,  // TBXv8i8Two
8383
414k
    2121945U, // TBZW
8384
414k
    2121945U, // TBZX
8385
414k
    381444U,  // TCANCEL
8386
414k
    10200U, // TCOMMIT
8387
414k
    23313U, // TRCIT
8388
414k
    2129972U, // TRN1_PPP_B
8389
414k
    2418065460U,  // TRN1_PPP_D
8390
414k
    2189492276U,  // TRN1_PPP_H
8391
414k
    270614580U, // TRN1_PPP_S
8392
414k
    2129972U, // TRN1_ZZZ_B
8393
414k
    2418065460U,  // TRN1_ZZZ_D
8394
414k
    2189492276U,  // TRN1_ZZZ_H
8395
414k
    2210873396U,  // TRN1_ZZZ_Q
8396
414k
    270614580U, // TRN1_ZZZ_S
8397
414k
    811696180U, // TRN1v16i8
8398
414k
    813793332U, // TRN1v2i32
8399
414k
    815890484U, // TRN1v2i64
8400
414k
    817987636U, // TRN1v4i16
8401
414k
    820084788U, // TRN1v4i32
8402
414k
    822181940U, // TRN1v8i16
8403
414k
    824279092U, // TRN1v8i8
8404
414k
    2130392U, // TRN2_PPP_B
8405
414k
    2418065880U,  // TRN2_PPP_D
8406
414k
    2189492696U,  // TRN2_PPP_H
8407
414k
    270615000U, // TRN2_PPP_S
8408
414k
    2130392U, // TRN2_ZZZ_B
8409
414k
    2418065880U,  // TRN2_ZZZ_D
8410
414k
    2189492696U,  // TRN2_ZZZ_H
8411
414k
    2210873816U,  // TRN2_ZZZ_Q
8412
414k
    270615000U, // TRN2_ZZZ_S
8413
414k
    811696600U, // TRN2v16i8
8414
414k
    813793752U, // TRN2v2i32
8415
414k
    815890904U, // TRN2v2i64
8416
414k
    817988056U, // TRN2v4i16
8417
414k
    820085208U, // TRN2v4i32
8418
414k
    822182360U, // TRN2v8i16
8419
414k
    824279512U, // TRN2v8i8
8420
414k
    444733U,  // TSB
8421
414k
    23802U, // TSTART
8422
414k
    23824U, // TTEST
8423
414k
    1344325155U,  // UABALB_ZZZ_D
8424
414k
    2220951075U,  // UABALB_ZZZ_H
8425
414k
    2686535203U,  // UABALB_ZZZ_S
8426
414k
    1344330534U,  // UABALT_ZZZ_D
8427
414k
    2220956454U,  // UABALT_ZZZ_H
8428
414k
    2686540582U,  // UABALT_ZZZ_S
8429
414k
    2969698518U,  // UABALv16i8_v8i16
8430
414k
    2963411063U,  // UABALv2i32_v2i64
8431
414k
    2967605367U,  // UABALv4i16_v4i32
8432
414k
    2963407062U,  // UABALv4i32_v2i64
8433
414k
    2967601366U,  // UABALv8i16_v4i32
8434
414k
    2969702519U,  // UABALv8i8_v8i16
8435
414k
    2418049768U,  // UABA_ZZZ_B
8436
414k
    1075888872U,  // UABA_ZZZ_D
8437
414k
    2195784424U,  // UABA_ZZZ_H
8438
414k
    1344357096U,  // UABA_ZZZ_S
8439
414k
    2959213288U,  // UABAv16i8
8440
414k
    2961310440U,  // UABAv2i32
8441
414k
    2965504744U,  // UABAv4i16
8442
414k
    2967601896U,  // UABAv4i32
8443
414k
    2969699048U,  // UABAv8i16
8444
414k
    2971796200U,  // UABAv8i8
8445
414k
    270583512U, // UABDLB_ZZZ_D
8446
414k
    2197882584U,  // UABDLB_ZZZ_H
8447
414k
    1881229016U,  // UABDLB_ZZZ_S
8448
414k
    270588791U, // UABDLT_ZZZ_D
8449
414k
    2197887863U,  // UABDLT_ZZZ_H
8450
414k
    1881234295U,  // UABDLT_ZZZ_S
8451
414k
    822182168U, // UABDLv16i8_v8i16
8452
414k
    815894999U, // UABDLv2i32_v2i64
8453
414k
    820089303U, // UABDLv4i16_v4i32
8454
414k
    815890712U, // UABDLv4i32_v2i64
8455
414k
    820085016U, // UABDLv8i16_v4i32
8456
414k
    822186455U, // UABDLv8i8_v8i16
8457
414k
    3223358178U,  // UABD_ZPmZ_B
8458
414k
    3223374562U,  // UABD_ZPmZ_D
8459
414k
    3519089378U,  // UABD_ZPmZ_H
8460
414k
    3223407330U,  // UABD_ZPmZ_S
8461
414k
    811698914U, // UABDv16i8
8462
414k
    813796066U, // UABDv2i32
8463
414k
    817990370U, // UABDv4i16
8464
414k
    820087522U, // UABDv4i32
8465
414k
    822184674U, // UABDv8i16
8466
414k
    824281826U, // UABDv8i8
8467
414k
    3223377390U,  // UADALP_ZPmZ_D
8468
414k
    3519092206U,  // UADALP_ZPmZ_H
8469
414k
    3223410158U,  // UADALP_ZPmZ_S
8470
414k
    2969703918U,  // UADALPv16i8_v8i16
8471
414k
    3124893166U,  // UADALPv2i32_v1i64
8472
414k
    2961315310U,  // UADALPv4i16_v2i32
8473
414k
    2963412462U,  // UADALPv4i32_v2i64
8474
414k
    2967606766U,  // UADALPv8i16_v4i32
8475
414k
    2965509614U,  // UADALPv8i8_v4i16
8476
414k
    270583537U, // UADDLB_ZZZ_D
8477
414k
    2197882609U,  // UADDLB_ZZZ_H
8478
414k
    1881229041U,  // UADDLB_ZZZ_S
8479
414k
    822187518U, // UADDLPv16i8_v8i16
8480
414k
    977376766U, // UADDLPv2i32_v1i64
8481
414k
    813798910U, // UADDLPv4i16_v2i32
8482
414k
    815896062U, // UADDLPv4i32_v2i64
8483
414k
    820090366U, // UADDLPv8i16_v4i32
8484
414k
    817993214U, // UADDLPv8i8_v4i16
8485
414k
    270588807U, // UADDLT_ZZZ_D
8486
414k
    2197887879U,  // UADDLT_ZZZ_H
8487
414k
    1881234311U,  // UADDLT_ZZZ_S
8488
414k
    807427609U, // UADDLVv16i8v
8489
414k
    807427609U, // UADDLVv4i16v
8490
414k
    807427609U, // UADDLVv4i32v
8491
414k
    807427609U, // UADDLVv8i16v
8492
414k
    807427609U, // UADDLVv8i8v
8493
414k
    822182184U, // UADDLv16i8_v8i16
8494
414k
    815895037U, // UADDLv2i32_v2i64
8495
414k
    820089341U, // UADDLv4i16_v4i32
8496
414k
    815890728U, // UADDLv4i32_v2i64
8497
414k
    820085032U, // UADDLv8i16_v4i32
8498
414k
    822186493U, // UADDLv8i8_v8i16
8499
414k
    1684282861U,  // UADDV_VPZ_B
8500
414k
    1657019885U,  // UADDV_VPZ_D
8501
414k
    1659117037U,  // UADDV_VPZ_H
8502
414k
    1638145517U,  // UADDV_VPZ_S
8503
414k
    2418067926U,  // UADDWB_ZZZ_D
8504
414k
    2189494742U,  // UADDWB_ZZZ_H
8505
414k
    270617046U, // UADDWB_ZZZ_S
8506
414k
    2418072955U,  // UADDWT_ZZZ_D
8507
414k
    2189499771U,  // UADDWT_ZZZ_H
8508
414k
    270622075U, // UADDWT_ZZZ_S
8509
414k
    822182500U, // UADDWv16i8_v8i16
8510
414k
    815898482U, // UADDWv2i32_v2i64
8511
414k
    820092786U, // UADDWv4i16_v4i32
8512
414k
    815891044U, // UADDWv4i32_v2i64
8513
414k
    820085348U, // UADDWv8i16_v4i32
8514
414k
    822189938U, // UADDWv8i8_v8i16
8515
414k
    2118710U, // UBFMWri
8516
414k
    2118710U, // UBFMXri
8517
414k
    2221037086U,  // UCLAMP_VG2_2Z2Z_B
8518
414k
    2193790494U,  // UCLAMP_VG2_2Z2Z_D
8519
414k
    2195904030U,  // UCLAMP_VG2_2Z2Z_H
8520
414k
    2174948894U,  // UCLAMP_VG2_2Z2Z_S
8521
414k
    2221037086U,  // UCLAMP_VG4_4Z4Z_B
8522
414k
    2193790494U,  // UCLAMP_VG4_4Z4Z_D
8523
414k
    2195904030U,  // UCLAMP_VG4_4Z4Z_H
8524
414k
    2174948894U,  // UCLAMP_VG4_4Z4Z_S
8525
414k
    2418054686U,  // UCLAMP_ZZZ_B
8526
414k
    1075893790U,  // UCLAMP_ZZZ_D
8527
414k
    2195789342U,  // UCLAMP_ZZZ_H
8528
414k
    1344362014U,  // UCLAMP_ZZZ_S
8529
414k
    2116707U, // UCVTFSWDri
8530
414k
    2116707U, // UCVTFSWHri
8531
414k
    2116707U, // UCVTFSWSri
8532
414k
    2116707U, // UCVTFSXDri
8533
414k
    2116707U, // UCVTFSXHri
8534
414k
    2116707U, // UCVTFSXSri
8535
414k
    2116707U, // UCVTFUWDri
8536
414k
    2116707U, // UCVTFUWHri
8537
414k
    2116707U, // UCVTFUWSri
8538
414k
    2116707U, // UCVTFUXDri
8539
414k
    2116707U, // UCVTFUXHri
8540
414k
    2116707U, // UCVTFUXSri
8541
414k
    1648561251U,  // UCVTF_2Z2Z_StoS
8542
414k
    1648561251U,  // UCVTF_4Z4Z_StoS
8543
414k
    270584931U, // UCVTF_ZPmZ_DtoD
8544
414k
    3493923939U,  // UCVTF_ZPmZ_DtoH
8545
414k
    270617699U, // UCVTF_ZPmZ_DtoS
8546
414k
    541133923U, // UCVTF_ZPmZ_HtoH
8547
414k
    270584931U, // UCVTF_ZPmZ_StoD
8548
414k
    1078004835U,  // UCVTF_ZPmZ_StoH
8549
414k
    270617699U, // UCVTF_ZPmZ_StoS
8550
414k
    2116707U, // UCVTFd
8551
414k
    2116707U, // UCVTFh
8552
414k
    2116707U, // UCVTFs
8553
414k
    2116707U, // UCVTFv1i16
8554
414k
    2116707U, // UCVTFv1i32
8555
414k
    2116707U, // UCVTFv1i64
8556
414k
    813796451U, // UCVTFv2f32
8557
414k
    815893603U, // UCVTFv2f64
8558
414k
    813796451U, // UCVTFv2i32_shift
8559
414k
    815893603U, // UCVTFv2i64_shift
8560
414k
    817990755U, // UCVTFv4f16
8561
414k
    820087907U, // UCVTFv4f32
8562
414k
    817990755U, // UCVTFv4i16_shift
8563
414k
    820087907U, // UCVTFv4i32_shift
8564
414k
    822185059U, // UCVTFv8f16
8565
414k
    822185059U, // UCVTFv8i16_shift
8566
414k
    19532U, // UDF
8567
414k
    3223378200U,  // UDIVR_ZPmZ_D
8568
414k
    3223410968U,  // UDIVR_ZPmZ_S
8569
414k
    2121227U, // UDIVWr
8570
414k
    2121227U, // UDIVXr
8571
414k
    3223379467U,  // UDIV_ZPmZ_D
8572
414k
    3223412235U,  // UDIV_ZPmZ_S
8573
414k
    3798179000U,  // UDOT_VG2_M2Z2Z_BtoS
8574
414k
    3798162616U,  // UDOT_VG2_M2Z2Z_HtoD
8575
414k
    3798179000U,  // UDOT_VG2_M2Z2Z_HtoS
8576
414k
    3798179000U,  // UDOT_VG2_M2ZZI_BToS
8577
414k
    3798179000U,  // UDOT_VG2_M2ZZI_HToS
8578
414k
    3798162616U,  // UDOT_VG2_M2ZZI_HtoD
8579
414k
    3798179000U,  // UDOT_VG2_M2ZZ_BtoS
8580
414k
    3798162616U,  // UDOT_VG2_M2ZZ_HtoD
8581
414k
    3798179000U,  // UDOT_VG2_M2ZZ_HtoS
8582
414k
    4066614456U,  // UDOT_VG4_M4Z4Z_BtoS
8583
414k
    4066598072U,  // UDOT_VG4_M4Z4Z_HtoD
8584
414k
    4066614456U,  // UDOT_VG4_M4Z4Z_HtoS
8585
414k
    4066614456U,  // UDOT_VG4_M4ZZI_BtoS
8586
414k
    4066614456U,  // UDOT_VG4_M4ZZI_HToS
8587
414k
    4066598072U,  // UDOT_VG4_M4ZZI_HtoD
8588
414k
    4066614456U,  // UDOT_VG4_M4ZZ_BtoS
8589
414k
    4066598072U,  // UDOT_VG4_M4ZZ_HtoD
8590
414k
    4066614456U,  // UDOT_VG4_M4ZZ_HtoS
8591
414k
    2686508216U,  // UDOT_ZZZI_D
8592
414k
    2686540984U,  // UDOT_ZZZI_HtoS
8593
414k
    2418105528U,  // UDOT_ZZZI_S
8594
414k
    2686508216U,  // UDOT_ZZZ_D
8595
414k
    2686540984U,  // UDOT_ZZZ_HtoS
8596
414k
    2418105528U,  // UDOT_ZZZ_S
8597
414k
    2967608504U,  // UDOTlanev16i8
8598
414k
    2961317048U,  // UDOTlanev8i8
8599
414k
    2967608504U,  // UDOTv16i8
8600
414k
    2961317048U,  // UDOTv8i8
8601
414k
    3223358275U,  // UHADD_ZPmZ_B
8602
414k
    3223374659U,  // UHADD_ZPmZ_D
8603
414k
    3519089475U,  // UHADD_ZPmZ_H
8604
414k
    3223407427U,  // UHADD_ZPmZ_S
8605
414k
    811699011U, // UHADDv16i8
8606
414k
    813796163U, // UHADDv2i32
8607
414k
    817990467U, // UHADDv4i16
8608
414k
    820087619U, // UHADDv4i32
8609
414k
    822184771U, // UHADDv8i16
8610
414k
    824281923U, // UHADDv8i8
8611
414k
    3223361490U,  // UHSUBR_ZPmZ_B
8612
414k
    3223377874U,  // UHSUBR_ZPmZ_D
8613
414k
    3519092690U,  // UHSUBR_ZPmZ_H
8614
414k
    3223410642U,  // UHSUBR_ZPmZ_S
8615
414k
    3223357844U,  // UHSUB_ZPmZ_B
8616
414k
    3223374228U,  // UHSUB_ZPmZ_D
8617
414k
    3519089044U,  // UHSUB_ZPmZ_H
8618
414k
    3223406996U,  // UHSUB_ZPmZ_S
8619
414k
    811698580U, // UHSUBv16i8
8620
414k
    813795732U, // UHSUBv2i32
8621
414k
    817990036U, // UHSUBv4i16
8622
414k
    820087188U, // UHSUBv4i32
8623
414k
    822184340U, // UHSUBv8i16
8624
414k
    824281492U, // UHSUBv8i8
8625
414k
    2118126U, // UMADDLrrr
8626
414k
    3223361307U,  // UMAXP_ZPmZ_B
8627
414k
    3223377691U,  // UMAXP_ZPmZ_D
8628
414k
    3519092507U,  // UMAXP_ZPmZ_H
8629
414k
    3223410459U,  // UMAXP_ZPmZ_S
8630
414k
    811702043U, // UMAXPv16i8
8631
414k
    813799195U, // UMAXPv2i32
8632
414k
    817993499U, // UMAXPv4i16
8633
414k
    820090651U, // UMAXPv4i32
8634
414k
    822187803U, // UMAXPv8i16
8635
414k
    824284955U, // UMAXPv8i8
8636
414k
    3227623097U,  // UMAXQV_VPZ_B
8637
414k
    3231817401U,  // UMAXQV_VPZ_D
8638
414k
    3238108857U,  // UMAXQV_VPZ_H
8639
414k
    3236011705U,  // UMAXQV_VPZ_S
8640
414k
    253653U,  // UMAXV_VPZ_B
8641
414k
    1657020117U,  // UMAXV_VPZ_D
8642
414k
    1659133653U,  // UMAXV_VPZ_H
8643
414k
    1638178517U,  // UMAXV_VPZ_S
8644
414k
    807427797U, // UMAXVv16i8v
8645
414k
    807427797U, // UMAXVv4i16v
8646
414k
    807427797U, // UMAXVv4i32v
8647
414k
    807427797U, // UMAXVv8i16v
8648
414k
    807427797U, // UMAXVv8i8v
8649
414k
    2121795U, // UMAXWri
8650
414k
    2121795U, // UMAXWrr
8651
414k
    2121795U, // UMAXXri
8652
414k
    2121795U, // UMAXXrr
8653
414k
    2179096643U,  // UMAX_VG2_2Z2Z_B
8654
414k
    2181210179U,  // UMAX_VG2_2Z2Z_D
8655
414k
    2183323715U,  // UMAX_VG2_2Z2Z_H
8656
414k
    2185437251U,  // UMAX_VG2_2Z2Z_S
8657
414k
    2179096643U,  // UMAX_VG2_2ZZ_B
8658
414k
    2181210179U,  // UMAX_VG2_2ZZ_D
8659
414k
    2183323715U,  // UMAX_VG2_2ZZ_H
8660
414k
    2185437251U,  // UMAX_VG2_2ZZ_S
8661
414k
    2179096643U,  // UMAX_VG4_4Z4Z_B
8662
414k
    2181210179U,  // UMAX_VG4_4Z4Z_D
8663
414k
    2183323715U,  // UMAX_VG4_4Z4Z_H
8664
414k
    2185437251U,  // UMAX_VG4_4Z4Z_S
8665
414k
    2179096643U,  // UMAX_VG4_4ZZ_B
8666
414k
    2181210179U,  // UMAX_VG4_4ZZ_D
8667
414k
    2183323715U,  // UMAX_VG4_4ZZ_H
8668
414k
    2185437251U,  // UMAX_VG4_4ZZ_S
8669
414k
    2138179U, // UMAX_ZI_B
8670
414k
    2418073667U,  // UMAX_ZI_D
8671
414k
    2189500483U,  // UMAX_ZI_H
8672
414k
    270622787U, // UMAX_ZI_S
8673
414k
    3223363651U,  // UMAX_ZPmZ_B
8674
414k
    3223380035U,  // UMAX_ZPmZ_D
8675
414k
    3519094851U,  // UMAX_ZPmZ_H
8676
414k
    3223412803U,  // UMAX_ZPmZ_S
8677
414k
    811704387U, // UMAXv16i8
8678
414k
    813801539U, // UMAXv2i32
8679
414k
    817995843U, // UMAXv4i16
8680
414k
    820092995U, // UMAXv4i32
8681
414k
    822190147U, // UMAXv8i16
8682
414k
    824287299U, // UMAXv8i8
8683
414k
    3223361113U,  // UMINP_ZPmZ_B
8684
414k
    3223377497U,  // UMINP_ZPmZ_D
8685
414k
    3519092313U,  // UMINP_ZPmZ_H
8686
414k
    3223410265U,  // UMINP_ZPmZ_S
8687
414k
    811701849U, // UMINPv16i8
8688
414k
    813799001U, // UMINPv2i32
8689
414k
    817993305U, // UMINPv4i16
8690
414k
    820090457U, // UMINPv4i32
8691
414k
    822187609U, // UMINPv8i16
8692
414k
    824284761U, // UMINPv8i8
8693
414k
    3227623066U,  // UMINQV_VPZ_B
8694
414k
    3231817370U,  // UMINQV_VPZ_D
8695
414k
    3238108826U,  // UMINQV_VPZ_H
8696
414k
    3236011674U,  // UMINQV_VPZ_S
8697
414k
    253505U,  // UMINV_VPZ_B
8698
414k
    1657019969U,  // UMINV_VPZ_D
8699
414k
    1659133505U,  // UMINV_VPZ_H
8700
414k
    1638178369U,  // UMINV_VPZ_S
8701
414k
    807427649U, // UMINVv16i8v
8702
414k
    807427649U, // UMINVv4i16v
8703
414k
    807427649U, // UMINVv4i32v
8704
414k
    807427649U, // UMINVv8i16v
8705
414k
    807427649U, // UMINVv8i8v
8706
414k
    2118854U, // UMINWri
8707
414k
    2118854U, // UMINWrr
8708
414k
    2118854U, // UMINXri
8709
414k
    2118854U, // UMINXrr
8710
414k
    2179093702U,  // UMIN_VG2_2Z2Z_B
8711
414k
    2181207238U,  // UMIN_VG2_2Z2Z_D
8712
414k
    2183320774U,  // UMIN_VG2_2Z2Z_H
8713
414k
    2185434310U,  // UMIN_VG2_2Z2Z_S
8714
414k
    2179093702U,  // UMIN_VG2_2ZZ_B
8715
414k
    2181207238U,  // UMIN_VG2_2ZZ_D
8716
414k
    2183320774U,  // UMIN_VG2_2ZZ_H
8717
414k
    2185434310U,  // UMIN_VG2_2ZZ_S
8718
414k
    2179093702U,  // UMIN_VG4_4Z4Z_B
8719
414k
    2181207238U,  // UMIN_VG4_4Z4Z_D
8720
414k
    2183320774U,  // UMIN_VG4_4Z4Z_H
8721
414k
    2185434310U,  // UMIN_VG4_4Z4Z_S
8722
414k
    2179093702U,  // UMIN_VG4_4ZZ_B
8723
414k
    2181207238U,  // UMIN_VG4_4ZZ_D
8724
414k
    2183320774U,  // UMIN_VG4_4ZZ_H
8725
414k
    2185434310U,  // UMIN_VG4_4ZZ_S
8726
414k
    2135238U, // UMIN_ZI_B
8727
414k
    2418070726U,  // UMIN_ZI_D
8728
414k
    2189497542U,  // UMIN_ZI_H
8729
414k
    270619846U, // UMIN_ZI_S
8730
414k
    3223360710U,  // UMIN_ZPmZ_B
8731
414k
    3223377094U,  // UMIN_ZPmZ_D
8732
414k
    3519091910U,  // UMIN_ZPmZ_H
8733
414k
    3223409862U,  // UMIN_ZPmZ_S
8734
414k
    811701446U, // UMINv16i8
8735
414k
    813798598U, // UMINv2i32
8736
414k
    817992902U, // UMINv4i16
8737
414k
    820090054U, // UMINv4i32
8738
414k
    822187206U, // UMINv8i16
8739
414k
    824284358U, // UMINv8i8
8740
414k
    1344325200U,  // UMLALB_ZZZI_D
8741
414k
    2686535248U,  // UMLALB_ZZZI_S
8742
414k
    1344325200U,  // UMLALB_ZZZ_D
8743
414k
    2220951120U,  // UMLALB_ZZZ_H
8744
414k
    2686535248U,  // UMLALB_ZZZ_S
8745
414k
    1688441452U,  // UMLALL_MZZI_BtoS
8746
414k
    1688425068U,  // UMLALL_MZZI_HtoD
8747
414k
    1688441452U,  // UMLALL_MZZ_BtoS
8748
414k
    1688425068U,  // UMLALL_MZZ_HtoD
8749
414k
    3835925100U,  // UMLALL_VG2_M2Z2Z_BtoS
8750
414k
    3835908716U,  // UMLALL_VG2_M2Z2Z_HtoD
8751
414k
    3835925100U,  // UMLALL_VG2_M2ZZI_BtoS
8752
414k
    3835908716U,  // UMLALL_VG2_M2ZZI_HtoD
8753
414k
    4104360556U,  // UMLALL_VG2_M2ZZ_BtoS
8754
414k
    4104344172U,  // UMLALL_VG2_M2ZZ_HtoD
8755
414k
    4104360556U,  // UMLALL_VG4_M4Z4Z_BtoS
8756
414k
    4104344172U,  // UMLALL_VG4_M4Z4Z_HtoD
8757
414k
    4104360556U,  // UMLALL_VG4_M4ZZI_BtoS
8758
414k
    4104344172U,  // UMLALL_VG4_M4ZZI_HtoD
8759
414k
    77828716U,  // UMLALL_VG4_M4ZZ_BtoS
8760
414k
    77812332U,  // UMLALL_VG4_M4ZZ_HtoD
8761
414k
    1344330569U,  // UMLALT_ZZZI_D
8762
414k
    2686540617U,  // UMLALT_ZZZI_S
8763
414k
    1344330569U,  // UMLALT_ZZZ_D
8764
414k
    2220956489U,  // UMLALT_ZZZ_H
8765
414k
    2686540617U,  // UMLALT_ZZZ_S
8766
414k
    1663275167U,  // UMLAL_MZZI_HtoS
8767
414k
    1663275167U,  // UMLAL_MZZ_HtoS
8768
414k
    3810758815U,  // UMLAL_VG2_M2Z2Z_HtoS
8769
414k
    3810758815U,  // UMLAL_VG2_M2ZZI_S
8770
414k
    3810758815U,  // UMLAL_VG2_M2ZZ_HtoS
8771
414k
    4079194271U,  // UMLAL_VG4_M4Z4Z_HtoS
8772
414k
    4079194271U,  // UMLAL_VG4_M4ZZI_HtoS
8773
414k
    4079194271U,  // UMLAL_VG4_M4ZZ_HtoS
8774
414k
    2969698552U,  // UMLALv16i8_v8i16
8775
414k
    2963411103U,  // UMLALv2i32_indexed
8776
414k
    2963411103U,  // UMLALv2i32_v2i64
8777
414k
    2967605407U,  // UMLALv4i16_indexed
8778
414k
    2967605407U,  // UMLALv4i16_v4i32
8779
414k
    2963407096U,  // UMLALv4i32_indexed
8780
414k
    2963407096U,  // UMLALv4i32_v2i64
8781
414k
    2967601400U,  // UMLALv8i16_indexed
8782
414k
    2967601400U,  // UMLALv8i16_v4i32
8783
414k
    2969702559U,  // UMLALv8i8_v8i16
8784
414k
    1344325498U,  // UMLSLB_ZZZI_D
8785
414k
    2686535546U,  // UMLSLB_ZZZI_S
8786
414k
    1344325498U,  // UMLSLB_ZZZ_D
8787
414k
    2220951418U,  // UMLSLB_ZZZ_H
8788
414k
    2686535546U,  // UMLSLB_ZZZ_S
8789
414k
    1688441482U,  // UMLSLL_MZZI_BtoS
8790
414k
    1688425098U,  // UMLSLL_MZZI_HtoD
8791
414k
    1688441482U,  // UMLSLL_MZZ_BtoS
8792
414k
    1688425098U,  // UMLSLL_MZZ_HtoD
8793
414k
    3835925130U,  // UMLSLL_VG2_M2Z2Z_BtoS
8794
414k
    3835908746U,  // UMLSLL_VG2_M2Z2Z_HtoD
8795
414k
    3835925130U,  // UMLSLL_VG2_M2ZZI_BtoS
8796
414k
    3835908746U,  // UMLSLL_VG2_M2ZZI_HtoD
8797
414k
    4104360586U,  // UMLSLL_VG2_M2ZZ_BtoS
8798
414k
    4104344202U,  // UMLSLL_VG2_M2ZZ_HtoD
8799
414k
    4104360586U,  // UMLSLL_VG4_M4Z4Z_BtoS
8800
414k
    4104344202U,  // UMLSLL_VG4_M4Z4Z_HtoD
8801
414k
    4104360586U,  // UMLSLL_VG4_M4ZZI_BtoS
8802
414k
    4104344202U,  // UMLSLL_VG4_M4ZZI_HtoD
8803
414k
    77828746U,  // UMLSLL_VG4_M4ZZ_BtoS
8804
414k
    77812362U,  // UMLSLL_VG4_M4ZZ_HtoD
8805
414k
    1344330744U,  // UMLSLT_ZZZI_D
8806
414k
    2686540792U,  // UMLSLT_ZZZI_S
8807
414k
    1344330744U,  // UMLSLT_ZZZ_D
8808
414k
    2220956664U,  // UMLSLT_ZZZ_H
8809
414k
    2686540792U,  // UMLSLT_ZZZ_S
8810
414k
    1663275934U,  // UMLSL_MZZI_HtoS
8811
414k
    1663275934U,  // UMLSL_MZZ_HtoS
8812
414k
    3810759582U,  // UMLSL_VG2_M2Z2Z_HtoS
8813
414k
    3810759582U,  // UMLSL_VG2_M2ZZI_S
8814
414k
    3810759582U,  // UMLSL_VG2_M2ZZ_HtoS
8815
414k
    4079195038U,  // UMLSL_VG4_M4Z4Z_HtoS
8816
414k
    4079195038U,  // UMLSL_VG4_M4ZZI_HtoS
8817
414k
    4079195038U,  // UMLSL_VG4_M4ZZ_HtoS
8818
414k
    2969698684U,  // UMLSLv16i8_v8i16
8819
414k
    2963411870U,  // UMLSLv2i32_indexed
8820
414k
    2963411870U,  // UMLSLv2i32_v2i64
8821
414k
    2967606174U,  // UMLSLv4i16_indexed
8822
414k
    2967606174U,  // UMLSLv4i16_v4i32
8823
414k
    2963407228U,  // UMLSLv4i32_indexed
8824
414k
    2963407228U,  // UMLSLv4i32_v2i64
8825
414k
    2967601532U,  // UMLSLv8i16_indexed
8826
414k
    2967601532U,  // UMLSLv8i16_v4i32
8827
414k
    2969703326U,  // UMLSLv8i8_v8i16
8828
414k
    2967601995U,  // UMMLA
8829
414k
    2418099019U,  // UMMLA_ZZZ
8830
414k
    54641546U,  // UMOPA_MPPZZ_D
8831
414k
    54641546U,  // UMOPA_MPPZZ_HtoS
8832
414k
    79807370U,  // UMOPA_MPPZZ_S
8833
414k
    54647349U,  // UMOPS_MPPZZ_D
8834
414k
    54647349U,  // UMOPS_MPPZZ_HtoS
8835
414k
    79813173U,  // UMOPS_MPPZZ_S
8836
414k
    807427681U, // UMOVvi16
8837
414k
    807427681U, // UMOVvi16_idx0
8838
414k
    807427681U, // UMOVvi32
8839
414k
    807427681U, // UMOVvi32_idx0
8840
414k
    807427681U, // UMOVvi64
8841
414k
    807427681U, // UMOVvi64_idx0
8842
414k
    807427681U, // UMOVvi8
8843
414k
    807427681U, // UMOVvi8_idx0
8844
414k
    2118074U, // UMSUBLrrr
8845
414k
    3223359128U,  // UMULH_ZPmZ_B
8846
414k
    3223375512U,  // UMULH_ZPmZ_D
8847
414k
    3519090328U,  // UMULH_ZPmZ_H
8848
414k
    3223408280U,  // UMULH_ZPmZ_S
8849
414k
    2133656U, // UMULH_ZZZ_B
8850
414k
    2418069144U,  // UMULH_ZZZ_D
8851
414k
    2189495960U,  // UMULH_ZZZ_H
8852
414k
    270618264U, // UMULH_ZZZ_S
8853
414k
    2117272U, // UMULHrr
8854
414k
    270583587U, // UMULLB_ZZZI_D
8855
414k
    1881229091U,  // UMULLB_ZZZI_S
8856
414k
    270583587U, // UMULLB_ZZZ_D
8857
414k
    2197882659U,  // UMULLB_ZZZ_H
8858
414k
    1881229091U,  // UMULLB_ZZZ_S
8859
414k
    270588871U, // UMULLT_ZZZI_D
8860
414k
    1881234375U,  // UMULLT_ZZZI_S
8861
414k
    270588871U, // UMULLT_ZZZ_D
8862
414k
    2197887943U,  // UMULLT_ZZZ_H
8863
414k
    1881234375U,  // UMULLT_ZZZ_S
8864
414k
    822182234U, // UMULLv16i8_v8i16
8865
414k
    815895209U, // UMULLv2i32_indexed
8866
414k
    815895209U, // UMULLv2i32_v2i64
8867
414k
    820089513U, // UMULLv4i16_indexed
8868
414k
    820089513U, // UMULLv4i16_v4i32
8869
414k
    815890778U, // UMULLv4i32_indexed
8870
414k
    815890778U, // UMULLv4i32_v2i64
8871
414k
    820085082U, // UMULLv8i16_indexed
8872
414k
    820085082U, // UMULLv8i16_v4i32
8873
414k
    822186665U, // UMULLv8i8_v8i16
8874
414k
    2132834U, // UQADD_ZI_B
8875
414k
    2418068322U,  // UQADD_ZI_D
8876
414k
    2189495138U,  // UQADD_ZI_H
8877
414k
    270617442U, // UQADD_ZI_S
8878
414k
    3223358306U,  // UQADD_ZPmZ_B
8879
414k
    3223374690U,  // UQADD_ZPmZ_D
8880
414k
    3519089506U,  // UQADD_ZPmZ_H
8881
414k
    3223407458U,  // UQADD_ZPmZ_S
8882
414k
    2132834U, // UQADD_ZZZ_B
8883
414k
    2418068322U,  // UQADD_ZZZ_D
8884
414k
    2189495138U,  // UQADD_ZZZ_H
8885
414k
    270617442U, // UQADD_ZZZ_S
8886
414k
    811699042U, // UQADDv16i8
8887
414k
    2116450U, // UQADDv1i16
8888
414k
    2116450U, // UQADDv1i32
8889
414k
    2116450U, // UQADDv1i64
8890
414k
    2116450U, // UQADDv1i8
8891
414k
    813796194U, // UQADDv2i32
8892
414k
    815893346U, // UQADDv2i64
8893
414k
    817990498U, // UQADDv4i16
8894
414k
    820087650U, // UQADDv4i32
8895
414k
    822184802U, // UQADDv8i16
8896
414k
    824281954U, // UQADDv8i8
8897
414k
    1648432412U,  // UQCVTN_Z2Z_StoH
8898
414k
    1644238108U,  // UQCVTN_Z4Z_DtoH
8899
414k
    3223360796U,  // UQCVTN_Z4Z_StoB
8900
414k
    1648434518U,  // UQCVT_Z2Z_StoH
8901
414k
    1644240214U,  // UQCVT_Z4Z_DtoH
8902
414k
    3223362902U,  // UQCVT_Z4Z_StoB
8903
414k
    538985932U, // UQDECB_WPiI
8904
414k
    538985932U, // UQDECB_XPiI
8905
414k
    538987255U, // UQDECD_WPiI
8906
414k
    538987255U, // UQDECD_XPiI
8907
414k
    539020023U, // UQDECD_ZPiI
8908
414k
    538987942U, // UQDECH_WPiI
8909
414k
    538987942U, // UQDECH_XPiI
8910
414k
    56692134U,  // UQDECH_ZPiI
8911
414k
    2119089U, // UQDECP_WP_B
8912
414k
    2418038193U,  // UQDECP_WP_D
8913
414k
    1881167281U,  // UQDECP_WP_H
8914
414k
    270554545U, // UQDECP_WP_S
8915
414k
    2119089U, // UQDECP_XP_B
8916
414k
    2418038193U,  // UQDECP_XP_D
8917
414k
    1881167281U,  // UQDECP_XP_H
8918
414k
    270554545U, // UQDECP_XP_S
8919
414k
    1075893681U,  // UQDECP_ZP_D
8920
414k
    1658918321U,  // UQDECP_ZP_H
8921
414k
    1344361905U,  // UQDECP_ZP_S
8922
414k
    538992467U, // UQDECW_WPiI
8923
414k
    538992467U, // UQDECW_XPiI
8924
414k
    539058003U, // UQDECW_ZPiI
8925
414k
    538985948U, // UQINCB_WPiI
8926
414k
    538985948U, // UQINCB_XPiI
8927
414k
    538987271U, // UQINCD_WPiI
8928
414k
    538987271U, // UQINCD_XPiI
8929
414k
    539020039U, // UQINCD_ZPiI
8930
414k
    538987958U, // UQINCH_WPiI
8931
414k
    538987958U, // UQINCH_XPiI
8932
414k
    56692150U,  // UQINCH_ZPiI
8933
414k
    2119105U, // UQINCP_WP_B
8934
414k
    2418038209U,  // UQINCP_WP_D
8935
414k
    1881167297U,  // UQINCP_WP_H
8936
414k
    270554561U, // UQINCP_WP_S
8937
414k
    2119105U, // UQINCP_XP_B
8938
414k
    2418038209U,  // UQINCP_XP_D
8939
414k
    1881167297U,  // UQINCP_XP_H
8940
414k
    270554561U, // UQINCP_XP_S
8941
414k
    1075893697U,  // UQINCP_ZP_D
8942
414k
    1658918337U,  // UQINCP_ZP_H
8943
414k
    1344361921U,  // UQINCP_ZP_S
8944
414k
    538992483U, // UQINCW_WPiI
8945
414k
    538992483U, // UQINCW_XPiI
8946
414k
    539058019U, // UQINCW_ZPiI
8947
414k
    3223361634U,  // UQRSHLR_ZPmZ_B
8948
414k
    3223378018U,  // UQRSHLR_ZPmZ_D
8949
414k
    3519092834U,  // UQRSHLR_ZPmZ_H
8950
414k
    3223410786U,  // UQRSHLR_ZPmZ_S
8951
414k
    3223360056U,  // UQRSHL_ZPmZ_B
8952
414k
    3223376440U,  // UQRSHL_ZPmZ_D
8953
414k
    3519091256U,  // UQRSHL_ZPmZ_H
8954
414k
    3223409208U,  // UQRSHL_ZPmZ_S
8955
414k
    811700792U, // UQRSHLv16i8
8956
414k
    2118200U, // UQRSHLv1i16
8957
414k
    2118200U, // UQRSHLv1i32
8958
414k
    2118200U, // UQRSHLv1i64
8959
414k
    2118200U, // UQRSHLv1i8
8960
414k
    813797944U, // UQRSHLv2i32
8961
414k
    815895096U, // UQRSHLv2i64
8962
414k
    817992248U, // UQRSHLv4i16
8963
414k
    820089400U, // UQRSHLv4i32
8964
414k
    822186552U, // UQRSHLv8i16
8965
414k
    824283704U, // UQRSHLv8i8
8966
414k
    1881180132U,  // UQRSHRNB_ZZI_B
8967
414k
    2172717028U,  // UQRSHRNB_ZZI_H
8968
414k
    2418100196U,  // UQRSHRNB_ZZI_S
8969
414k
    2686491737U,  // UQRSHRNT_ZZI_B
8970
414k
    2174819417U,  // UQRSHRNT_ZZI_H
8971
414k
    1075928153U,  // UQRSHRNT_ZZI_S
8972
414k
    3223360758U,  // UQRSHRN_VG4_Z4ZI_B
8973
414k
    2181108982U,  // UQRSHRN_VG4_Z4ZI_H
8974
414k
    2185303286U,  // UQRSHRN_Z2ZI_StoH
8975
414k
    2118902U, // UQRSHRNb
8976
414k
    2118902U, // UQRSHRNh
8977
414k
    2118902U, // UQRSHRNs
8978
414k
    2959213006U,  // UQRSHRNv16i8_shift
8979
414k
    813798646U, // UQRSHRNv2i32_shift
8980
414k
    817992950U, // UQRSHRNv4i16_shift
8981
414k
    2967601614U,  // UQRSHRNv4i32_shift
8982
414k
    2969698766U,  // UQRSHRNv8i16_shift
8983
414k
    824284406U, // UQRSHRNv8i8_shift
8984
414k
    2185304074U,  // UQRSHR_VG2_Z2ZI_H
8985
414k
    3223361546U,  // UQRSHR_VG4_Z4ZI_B
8986
414k
    2181109770U,  // UQRSHR_VG4_Z4ZI_H
8987
414k
    3223361617U,  // UQSHLR_ZPmZ_B
8988
414k
    3223378001U,  // UQSHLR_ZPmZ_D
8989
414k
    3519092817U,  // UQSHLR_ZPmZ_H
8990
414k
    3223410769U,  // UQSHLR_ZPmZ_S
8991
414k
    3223360041U,  // UQSHL_ZPmI_B
8992
414k
    3223376425U,  // UQSHL_ZPmI_D
8993
414k
    3519091241U,  // UQSHL_ZPmI_H
8994
414k
    3223409193U,  // UQSHL_ZPmI_S
8995
414k
    3223360041U,  // UQSHL_ZPmZ_B
8996
414k
    3223376425U,  // UQSHL_ZPmZ_D
8997
414k
    3519091241U,  // UQSHL_ZPmZ_H
8998
414k
    3223409193U,  // UQSHL_ZPmZ_S
8999
414k
    2118185U, // UQSHLb
9000
414k
    2118185U, // UQSHLd
9001
414k
    2118185U, // UQSHLh
9002
414k
    2118185U, // UQSHLs
9003
414k
    811700777U, // UQSHLv16i8
9004
414k
    811700777U, // UQSHLv16i8_shift
9005
414k
    2118185U, // UQSHLv1i16
9006
414k
    2118185U, // UQSHLv1i32
9007
414k
    2118185U, // UQSHLv1i64
9008
414k
    2118185U, // UQSHLv1i8
9009
414k
    813797929U, // UQSHLv2i32
9010
414k
    813797929U, // UQSHLv2i32_shift
9011
414k
    815895081U, // UQSHLv2i64
9012
414k
    815895081U, // UQSHLv2i64_shift
9013
414k
    817992233U, // UQSHLv4i16
9014
414k
    817992233U, // UQSHLv4i16_shift
9015
414k
    820089385U, // UQSHLv4i32
9016
414k
    820089385U, // UQSHLv4i32_shift
9017
414k
    822186537U, // UQSHLv8i16
9018
414k
    822186537U, // UQSHLv8i16_shift
9019
414k
    824283689U, // UQSHLv8i8
9020
414k
    824283689U, // UQSHLv8i8_shift
9021
414k
    1881180113U,  // UQSHRNB_ZZI_B
9022
414k
    2172717009U,  // UQSHRNB_ZZI_H
9023
414k
    2418100177U,  // UQSHRNB_ZZI_S
9024
414k
    2686491718U,  // UQSHRNT_ZZI_B
9025
414k
    2174819398U,  // UQSHRNT_ZZI_H
9026
414k
    1075928134U,  // UQSHRNT_ZZI_S
9027
414k
    2118885U, // UQSHRNb
9028
414k
    2118885U, // UQSHRNh
9029
414k
    2118885U, // UQSHRNs
9030
414k
    2959212987U,  // UQSHRNv16i8_shift
9031
414k
    813798629U, // UQSHRNv2i32_shift
9032
414k
    817992933U, // UQSHRNv4i16_shift
9033
414k
    2967601595U,  // UQSHRNv4i32_shift
9034
414k
    2969698747U,  // UQSHRNv8i16_shift
9035
414k
    824284389U, // UQSHRNv8i8_shift
9036
414k
    3223361506U,  // UQSUBR_ZPmZ_B
9037
414k
    3223377890U,  // UQSUBR_ZPmZ_D
9038
414k
    3519092706U,  // UQSUBR_ZPmZ_H
9039
414k
    3223410658U,  // UQSUBR_ZPmZ_S
9040
414k
    2132401U, // UQSUB_ZI_B
9041
414k
    2418067889U,  // UQSUB_ZI_D
9042
414k
    2189494705U,  // UQSUB_ZI_H
9043
414k
    270617009U, // UQSUB_ZI_S
9044
414k
    3223357873U,  // UQSUB_ZPmZ_B
9045
414k
    3223374257U,  // UQSUB_ZPmZ_D
9046
414k
    3519089073U,  // UQSUB_ZPmZ_H
9047
414k
    3223407025U,  // UQSUB_ZPmZ_S
9048
414k
    2132401U, // UQSUB_ZZZ_B
9049
414k
    2418067889U,  // UQSUB_ZZZ_D
9050
414k
    2189494705U,  // UQSUB_ZZZ_H
9051
414k
    270617009U, // UQSUB_ZZZ_S
9052
414k
    811698609U, // UQSUBv16i8
9053
414k
    2116017U, // UQSUBv1i16
9054
414k
    2116017U, // UQSUBv1i32
9055
414k
    2116017U, // UQSUBv1i64
9056
414k
    2116017U, // UQSUBv1i8
9057
414k
    813795761U, // UQSUBv2i32
9058
414k
    815892913U, // UQSUBv2i64
9059
414k
    817990065U, // UQSUBv4i16
9060
414k
    820087217U, // UQSUBv4i32
9061
414k
    822184369U, // UQSUBv8i16
9062
414k
    824281521U, // UQSUBv8i8
9063
414k
    1881180158U,  // UQXTNB_ZZ_B
9064
414k
    1635846142U,  // UQXTNB_ZZ_H
9065
414k
    2418100222U,  // UQXTNB_ZZ_S
9066
414k
    2686491764U,  // UQXTNT_ZZ_B
9067
414k
    1637948532U,  // UQXTNT_ZZ_H
9068
414k
    1075928180U,  // UQXTNT_ZZ_S
9069
414k
    2959213039U,  // UQXTNv16i8
9070
414k
    2118955U, // UQXTNv1i16
9071
414k
    2118955U, // UQXTNv1i32
9072
414k
    2118955U, // UQXTNv1i8
9073
414k
    813798699U, // UQXTNv2i32
9074
414k
    817993003U, // UQXTNv4i16
9075
414k
    2967601647U,  // UQXTNv4i32
9076
414k
    2969698799U,  // UQXTNv8i16
9077
414k
    824284459U, // UQXTNv8i8
9078
414k
    270617614U, // URECPE_ZPmZ_S
9079
414k
    813796366U, // URECPEv2i32
9080
414k
    820087822U, // URECPEv4i32
9081
414k
    3223358260U,  // URHADD_ZPmZ_B
9082
414k
    3223374644U,  // URHADD_ZPmZ_D
9083
414k
    3519089460U,  // URHADD_ZPmZ_H
9084
414k
    3223407412U,  // URHADD_ZPmZ_S
9085
414k
    811698996U, // URHADDv16i8
9086
414k
    813796148U, // URHADDv2i32
9087
414k
    817990452U, // URHADDv4i16
9088
414k
    820087604U, // URHADDv4i32
9089
414k
    822184756U, // URHADDv8i16
9090
414k
    824281908U, // URHADDv8i8
9091
414k
    3223361651U,  // URSHLR_ZPmZ_B
9092
414k
    3223378035U,  // URSHLR_ZPmZ_D
9093
414k
    3519092851U,  // URSHLR_ZPmZ_H
9094
414k
    3223410803U,  // URSHLR_ZPmZ_S
9095
414k
    2179093063U,  // URSHL_VG2_2Z2Z_B
9096
414k
    2181206599U,  // URSHL_VG2_2Z2Z_D
9097
414k
    2183320135U,  // URSHL_VG2_2Z2Z_H
9098
414k
    2185433671U,  // URSHL_VG2_2Z2Z_S
9099
414k
    2179093063U,  // URSHL_VG2_2ZZ_B
9100
414k
    2181206599U,  // URSHL_VG2_2ZZ_D
9101
414k
    2183320135U,  // URSHL_VG2_2ZZ_H
9102
414k
    2185433671U,  // URSHL_VG2_2ZZ_S
9103
414k
    2179093063U,  // URSHL_VG4_4Z4Z_B
9104
414k
    2181206599U,  // URSHL_VG4_4Z4Z_D
9105
414k
    2183320135U,  // URSHL_VG4_4Z4Z_H
9106
414k
    2185433671U,  // URSHL_VG4_4Z4Z_S
9107
414k
    2179093063U,  // URSHL_VG4_4ZZ_B
9108
414k
    2181206599U,  // URSHL_VG4_4ZZ_D
9109
414k
    2183320135U,  // URSHL_VG4_4ZZ_H
9110
414k
    2185433671U,  // URSHL_VG4_4ZZ_S
9111
414k
    3223360071U,  // URSHL_ZPmZ_B
9112
414k
    3223376455U,  // URSHL_ZPmZ_D
9113
414k
    3519091271U,  // URSHL_ZPmZ_H
9114
414k
    3223409223U,  // URSHL_ZPmZ_S
9115
414k
    811700807U, // URSHLv16i8
9116
414k
    2118215U, // URSHLv1i64
9117
414k
    813797959U, // URSHLv2i32
9118
414k
    815895111U, // URSHLv2i64
9119
414k
    817992263U, // URSHLv4i16
9120
414k
    820089415U, // URSHLv4i32
9121
414k
    822186567U, // URSHLv8i16
9122
414k
    824283719U, // URSHLv8i8
9123
414k
    3223361561U,  // URSHR_ZPmI_B
9124
414k
    3223377945U,  // URSHR_ZPmI_D
9125
414k
    3519092761U,  // URSHR_ZPmI_H
9126
414k
    3223410713U,  // URSHR_ZPmI_S
9127
414k
    2119705U, // URSHRd
9128
414k
    811702297U, // URSHRv16i8_shift
9129
414k
    813799449U, // URSHRv2i32_shift
9130
414k
    815896601U, // URSHRv2i64_shift
9131
414k
    817993753U, // URSHRv4i16_shift
9132
414k
    820090905U, // URSHRv4i32_shift
9133
414k
    822188057U, // URSHRv8i16_shift
9134
414k
    824285209U, // URSHRv8i8_shift
9135
414k
    270617660U, // URSQRTE_ZPmZ_S
9136
414k
    813796412U, // URSQRTEv2i32
9137
414k
    820087868U, // URSQRTEv4i32
9138
414k
    2418050107U,  // URSRA_ZZI_B
9139
414k
    1075889211U,  // URSRA_ZZI_D
9140
414k
    2195784763U,  // URSRA_ZZI_H
9141
414k
    1344357435U,  // URSRA_ZZI_S
9142
414k
    807715899U, // URSRAd
9143
414k
    2959213627U,  // URSRAv16i8_shift
9144
414k
    2961310779U,  // URSRAv2i32_shift
9145
414k
    2963407931U,  // URSRAv2i64_shift
9146
414k
    2965505083U,  // URSRAv4i16_shift
9147
414k
    2967602235U,  // URSRAv4i32_shift
9148
414k
    2969699387U,  // URSRAv8i16_shift
9149
414k
    2971796539U,  // URSRAv8i8_shift
9150
414k
    3798178992U,  // USDOT_VG2_M2Z2Z_BToS
9151
414k
    3798178992U,  // USDOT_VG2_M2ZZI_BToS
9152
414k
    3798178992U,  // USDOT_VG2_M2ZZ_BToS
9153
414k
    4066614448U,  // USDOT_VG4_M4Z4Z_BToS
9154
414k
    4066614448U,  // USDOT_VG4_M4ZZI_BToS
9155
414k
    4066614448U,  // USDOT_VG4_M4ZZ_BToS
9156
414k
    2418105520U,  // USDOT_ZZZ
9157
414k
    2418105520U,  // USDOT_ZZZI
9158
414k
    2967608496U,  // USDOTlanev16i8
9159
414k
    2961317040U,  // USDOTlanev8i8
9160
414k
    2967608496U,  // USDOTv16i8
9161
414k
    2961317040U,  // USDOTv8i8
9162
414k
    270583553U, // USHLLB_ZZI_D
9163
414k
    2197882625U,  // USHLLB_ZZI_H
9164
414k
    1881229057U,  // USHLLB_ZZI_S
9165
414k
    270588837U, // USHLLT_ZZI_D
9166
414k
    2197887909U,  // USHLLT_ZZI_H
9167
414k
    1881234341U,  // USHLLT_ZZI_S
9168
414k
    822182200U, // USHLLv16i8_shift
9169
414k
    815895163U, // USHLLv2i32_shift
9170
414k
    820089467U, // USHLLv4i16_shift
9171
414k
    815890744U, // USHLLv4i32_shift
9172
414k
    820085048U, // USHLLv8i16_shift
9173
414k
    822186619U, // USHLLv8i8_shift
9174
414k
    811700820U, // USHLv16i8
9175
414k
    2118228U, // USHLv1i64
9176
414k
    813797972U, // USHLv2i32
9177
414k
    815895124U, // USHLv2i64
9178
414k
    817992276U, // USHLv4i16
9179
414k
    820089428U, // USHLv4i32
9180
414k
    822186580U, // USHLv8i16
9181
414k
    824283732U, // USHLv8i8
9182
414k
    2119718U, // USHRd
9183
414k
    811702310U, // USHRv16i8_shift
9184
414k
    813799462U, // USHRv2i32_shift
9185
414k
    815896614U, // USHRv2i64_shift
9186
414k
    817993766U, // USHRv4i16_shift
9187
414k
    820090918U, // USHRv4i32_shift
9188
414k
    822188070U, // USHRv8i16_shift
9189
414k
    824285222U, // USHRv8i8_shift
9190
414k
    1688441442U,  // USMLALL_MZZI_BtoS
9191
414k
    1688441442U,  // USMLALL_MZZ_BtoS
9192
414k
    3835925090U,  // USMLALL_VG2_M2Z2Z_BtoS
9193
414k
    3835925090U,  // USMLALL_VG2_M2ZZI_BtoS
9194
414k
    4104360546U,  // USMLALL_VG2_M2ZZ_BtoS
9195
414k
    4104360546U,  // USMLALL_VG4_M4Z4Z_BtoS
9196
414k
    4104360546U,  // USMLALL_VG4_M4ZZI_BtoS
9197
414k
    77828706U,  // USMLALL_VG4_M4ZZ_BtoS
9198
414k
    2967601987U,  // USMMLA
9199
414k
    2418099011U,  // USMMLA_ZZZ
9200
414k
    54641537U,  // USMOPA_MPPZZ_D
9201
414k
    79807361U,  // USMOPA_MPPZZ_S
9202
414k
    54647340U,  // USMOPS_MPPZZ_D
9203
414k
    79813164U,  // USMOPS_MPPZZ_S
9204
414k
    3223358297U,  // USQADD_ZPmZ_B
9205
414k
    3223374681U,  // USQADD_ZPmZ_D
9206
414k
    3519089497U,  // USQADD_ZPmZ_H
9207
414k
    3223407449U,  // USQADD_ZPmZ_S
9208
414k
    2959215449U,  // USQADDv16i8
9209
414k
    807717721U, // USQADDv1i16
9210
414k
    807717721U, // USQADDv1i32
9211
414k
    807717721U, // USQADDv1i64
9212
414k
    807717721U, // USQADDv1i8
9213
414k
    2961312601U,  // USQADDv2i32
9214
414k
    2963409753U,  // USQADDv2i64
9215
414k
    2965506905U,  // USQADDv4i16
9216
414k
    2967604057U,  // USQADDv4i32
9217
414k
    2969701209U,  // USQADDv8i16
9218
414k
    2971798361U,  // USQADDv8i8
9219
414k
    2418050120U,  // USRA_ZZI_B
9220
414k
    1075889224U,  // USRA_ZZI_D
9221
414k
    2195784776U,  // USRA_ZZI_H
9222
414k
    1344357448U,  // USRA_ZZI_S
9223
414k
    807715912U, // USRAd
9224
414k
    2959213640U,  // USRAv16i8_shift
9225
414k
    2961310792U,  // USRAv2i32_shift
9226
414k
    2963407944U,  // USRAv2i64_shift
9227
414k
    2965505096U,  // USRAv4i16_shift
9228
414k
    2967602248U,  // USRAv4i32_shift
9229
414k
    2969699400U,  // USRAv8i16_shift
9230
414k
    2971796552U,  // USRAv8i8_shift
9231
414k
    270583482U, // USUBLB_ZZZ_D
9232
414k
    2197882554U,  // USUBLB_ZZZ_H
9233
414k
    1881228986U,  // USUBLB_ZZZ_S
9234
414k
    270588761U, // USUBLT_ZZZ_D
9235
414k
    2197887833U,  // USUBLT_ZZZ_H
9236
414k
    1881234265U,  // USUBLT_ZZZ_S
9237
414k
    822182152U, // USUBLv16i8_v8i16
9238
414k
    815894985U, // USUBLv2i32_v2i64
9239
414k
    820089289U, // USUBLv4i16_v4i32
9240
414k
    815890696U, // USUBLv4i32_v2i64
9241
414k
    820085000U, // USUBLv8i16_v4i32
9242
414k
    822186441U, // USUBLv8i8_v8i16
9243
414k
    2418067910U,  // USUBWB_ZZZ_D
9244
414k
    2189494726U,  // USUBWB_ZZZ_H
9245
414k
    270617030U, // USUBWB_ZZZ_S
9246
414k
    2418072939U,  // USUBWT_ZZZ_D
9247
414k
    2189499755U,  // USUBWT_ZZZ_H
9248
414k
    270622059U, // USUBWT_ZZZ_S
9249
414k
    822182484U, // USUBWv16i8_v8i16
9250
414k
    815898427U, // USUBWv2i32_v2i64
9251
414k
    820092731U, // USUBWv4i16_v4i32
9252
414k
    815891028U, // USUBWv4i32_v2i64
9253
414k
    820085332U, // USUBWv8i16_v4i32
9254
414k
    822189883U, // USUBWv8i8_v8i16
9255
414k
    4066614470U,  // USVDOT_VG4_M4ZZI_BToS
9256
414k
    270585886U, // UUNPKHI_ZZ_D
9257
414k
    1661014046U,  // UUNPKHI_ZZ_H
9258
414k
    1881231390U,  // UUNPKHI_ZZ_S
9259
414k
    270587263U, // UUNPKLO_ZZ_D
9260
414k
    1661015423U,  // UUNPKLO_ZZ_H
9261
414k
    1881232767U,  // UUNPKLO_ZZ_S
9262
414k
    1635946590U,  // UUNPK_VG2_2ZZ_D
9263
414k
    1661128798U,  // UUNPK_VG2_2ZZ_H
9264
414k
    1652756574U,  // UUNPK_VG2_2ZZ_S
9265
414k
    1648529502U,  // UUNPK_VG4_4Z2Z_D
9266
414k
    1642254430U,  // UUNPK_VG4_4Z2Z_H
9267
414k
    1646465118U,  // UUNPK_VG4_4Z2Z_S
9268
414k
    3798179023U,  // UVDOT_VG2_M2ZZI_HtoS
9269
414k
    4066614479U,  // UVDOT_VG4_M4ZZI_BtoS
9270
414k
    4066598095U,  // UVDOT_VG4_M4ZZI_HtoD
9271
414k
    270584192U, // UXTB_ZPmZ_D
9272
414k
    541133184U, // UXTB_ZPmZ_H
9273
414k
    270616960U, // UXTB_ZPmZ_S
9274
414k
    270585822U, // UXTH_ZPmZ_D
9275
414k
    270618590U, // UXTH_ZPmZ_S
9276
414k
    270589949U, // UXTW_ZPmZ_D
9277
414k
    2129991U, // UZP1_PPP_B
9278
414k
    2418065479U,  // UZP1_PPP_D
9279
414k
    2189492295U,  // UZP1_PPP_H
9280
414k
    270614599U, // UZP1_PPP_S
9281
414k
    2129991U, // UZP1_ZZZ_B
9282
414k
    2418065479U,  // UZP1_ZZZ_D
9283
414k
    2189492295U,  // UZP1_ZZZ_H
9284
414k
    2210873415U,  // UZP1_ZZZ_Q
9285
414k
    270614599U, // UZP1_ZZZ_S
9286
414k
    811696199U, // UZP1v16i8
9287
414k
    813793351U, // UZP1v2i32
9288
414k
    815890503U, // UZP1v2i64
9289
414k
    817987655U, // UZP1v4i16
9290
414k
    820084807U, // UZP1v4i32
9291
414k
    822181959U, // UZP1v8i16
9292
414k
    824279111U, // UZP1v8i8
9293
414k
    2130468U, // UZP2_PPP_B
9294
414k
    2418065956U,  // UZP2_PPP_D
9295
414k
    2189492772U,  // UZP2_PPP_H
9296
414k
    270615076U, // UZP2_PPP_S
9297
414k
    2130468U, // UZP2_ZZZ_B
9298
414k
    2418065956U,  // UZP2_ZZZ_D
9299
414k
    2189492772U,  // UZP2_ZZZ_H
9300
414k
    2210873892U,  // UZP2_ZZZ_Q
9301
414k
    270615076U, // UZP2_ZZZ_S
9302
414k
    811696676U, // UZP2v16i8
9303
414k
    813793828U, // UZP2v2i32
9304
414k
    815890980U, // UZP2v2i64
9305
414k
    817988132U, // UZP2v4i16
9306
414k
    820085284U, // UZP2v4i32
9307
414k
    822182436U, // UZP2v8i16
9308
414k
    824279588U, // UZP2v8i8
9309
414k
    2130004U, // UZPQ1_ZZZ_B
9310
414k
    2418065492U,  // UZPQ1_ZZZ_D
9311
414k
    2189492308U,  // UZPQ1_ZZZ_H
9312
414k
    270614612U, // UZPQ1_ZZZ_S
9313
414k
    2130481U, // UZPQ2_ZZZ_B
9314
414k
    2418065969U,  // UZPQ2_ZZZ_D
9315
414k
    2189492785U,  // UZPQ2_ZZZ_H
9316
414k
    270615089U, // UZPQ2_ZZZ_S
9317
414k
    2197968693U,  // UZP_VG2_2ZZZ_B
9318
414k
    165844789U, // UZP_VG2_2ZZZ_D
9319
414k
    2189612853U,  // UZP_VG2_2ZZZ_H
9320
414k
    2210895669U,  // UZP_VG2_2ZZZ_Q
9321
414k
    2172852021U,  // UZP_VG2_2ZZZ_S
9322
414k
    1642223413U,  // UZP_VG4_4Z4Z_B
9323
414k
    1644336949U,  // UZP_VG4_4Z4Z_D
9324
414k
    1646450485U,  // UZP_VG4_4Z4Z_H
9325
414k
    178755381U, // UZP_VG4_4Z4Z_Q
9326
414k
    1648564021U,  // UZP_VG4_4Z4Z_S
9327
414k
    23242U, // WFET
9328
414k
    23320U, // WFIT
9329
414k
    2208451513U,  // WHILEGE_2PXX_B
9330
414k
    2208467897U,  // WHILEGE_2PXX_D
9331
414k
    2208484281U,  // WHILEGE_2PXX_H
9332
414k
    2208500665U,  // WHILEGE_2PXX_S
9333
414k
    3247033U, // WHILEGE_CXX_B
9334
414k
    3263417U, // WHILEGE_CXX_D
9335
414k
    3279801U, // WHILEGE_CXX_H
9336
414k
    3296185U, // WHILEGE_CXX_S
9337
414k
    2132921U, // WHILEGE_PWW_B
9338
414k
    2149305U, // WHILEGE_PWW_D
9339
414k
    2208369593U,  // WHILEGE_PWW_H
9340
414k
    2182073U, // WHILEGE_PWW_S
9341
414k
    2132921U, // WHILEGE_PXX_B
9342
414k
    2149305U, // WHILEGE_PXX_D
9343
414k
    2208369593U,  // WHILEGE_PXX_H
9344
414k
    2182073U, // WHILEGE_PXX_S
9345
414k
    2208455412U,  // WHILEGT_2PXX_B
9346
414k
    2208471796U,  // WHILEGT_2PXX_D
9347
414k
    2208488180U,  // WHILEGT_2PXX_H
9348
414k
    2208504564U,  // WHILEGT_2PXX_S
9349
414k
    3250932U, // WHILEGT_CXX_B
9350
414k
    3267316U, // WHILEGT_CXX_D
9351
414k
    3283700U, // WHILEGT_CXX_H
9352
414k
    3300084U, // WHILEGT_CXX_S
9353
414k
    2136820U, // WHILEGT_PWW_B
9354
414k
    2153204U, // WHILEGT_PWW_D
9355
414k
    2208373492U,  // WHILEGT_PWW_H
9356
414k
    2185972U, // WHILEGT_PWW_S
9357
414k
    2136820U, // WHILEGT_PXX_B
9358
414k
    2153204U, // WHILEGT_PXX_D
9359
414k
    2208373492U,  // WHILEGT_PXX_H
9360
414k
    2185972U, // WHILEGT_PXX_S
9361
414k
    2208452611U,  // WHILEHI_2PXX_B
9362
414k
    2208468995U,  // WHILEHI_2PXX_D
9363
414k
    2208485379U,  // WHILEHI_2PXX_H
9364
414k
    2208501763U,  // WHILEHI_2PXX_S
9365
414k
    3248131U, // WHILEHI_CXX_B
9366
414k
    3264515U, // WHILEHI_CXX_D
9367
414k
    3280899U, // WHILEHI_CXX_H
9368
414k
    3297283U, // WHILEHI_CXX_S
9369
414k
    2134019U, // WHILEHI_PWW_B
9370
414k
    2150403U, // WHILEHI_PWW_D
9371
414k
    2208370691U,  // WHILEHI_PWW_H
9372
414k
    2183171U, // WHILEHI_PWW_S
9373
414k
    2134019U, // WHILEHI_PXX_B
9374
414k
    2150403U, // WHILEHI_PXX_D
9375
414k
    2208370691U,  // WHILEHI_PXX_H
9376
414k
    2183171U, // WHILEHI_PXX_S
9377
414k
    2208455091U,  // WHILEHS_2PXX_B
9378
414k
    2208471475U,  // WHILEHS_2PXX_D
9379
414k
    2208487859U,  // WHILEHS_2PXX_H
9380
414k
    2208504243U,  // WHILEHS_2PXX_S
9381
414k
    3250611U, // WHILEHS_CXX_B
9382
414k
    3266995U, // WHILEHS_CXX_D
9383
414k
    3283379U, // WHILEHS_CXX_H
9384
414k
    3299763U, // WHILEHS_CXX_S
9385
414k
    2136499U, // WHILEHS_PWW_B
9386
414k
    2152883U, // WHILEHS_PWW_D
9387
414k
    2208373171U,  // WHILEHS_PWW_H
9388
414k
    2185651U, // WHILEHS_PWW_S
9389
414k
    2136499U, // WHILEHS_PXX_B
9390
414k
    2152883U, // WHILEHS_PXX_D
9391
414k
    2208373171U,  // WHILEHS_PXX_H
9392
414k
    2185651U, // WHILEHS_PXX_S
9393
414k
    2208451544U,  // WHILELE_2PXX_B
9394
414k
    2208467928U,  // WHILELE_2PXX_D
9395
414k
    2208484312U,  // WHILELE_2PXX_H
9396
414k
    2208500696U,  // WHILELE_2PXX_S
9397
414k
    3247064U, // WHILELE_CXX_B
9398
414k
    3263448U, // WHILELE_CXX_D
9399
414k
    3279832U, // WHILELE_CXX_H
9400
414k
    3296216U, // WHILELE_CXX_S
9401
414k
    2132952U, // WHILELE_PWW_B
9402
414k
    2149336U, // WHILELE_PWW_D
9403
414k
    2208369624U,  // WHILELE_PWW_H
9404
414k
    2182104U, // WHILELE_PWW_S
9405
414k
    2132952U, // WHILELE_PXX_B
9406
414k
    2149336U, // WHILELE_PXX_D
9407
414k
    2208369624U,  // WHILELE_PXX_H
9408
414k
    2182104U, // WHILELE_PXX_S
9409
414k
    2208453988U,  // WHILELO_2PXX_B
9410
414k
    2208470372U,  // WHILELO_2PXX_D
9411
414k
    2208486756U,  // WHILELO_2PXX_H
9412
414k
    2208503140U,  // WHILELO_2PXX_S
9413
414k
    3249508U, // WHILELO_CXX_B
9414
414k
    3265892U, // WHILELO_CXX_D
9415
414k
    3282276U, // WHILELO_CXX_H
9416
414k
    3298660U, // WHILELO_CXX_S
9417
414k
    2135396U, // WHILELO_PWW_B
9418
414k
    2151780U, // WHILELO_PWW_D
9419
414k
    2208372068U,  // WHILELO_PWW_H
9420
414k
    2184548U, // WHILELO_PWW_S
9421
414k
    2135396U, // WHILELO_PXX_B
9422
414k
    2151780U, // WHILELO_PXX_D
9423
414k
    2208372068U,  // WHILELO_PXX_H
9424
414k
    2184548U, // WHILELO_PXX_S
9425
414k
    2208455118U,  // WHILELS_2PXX_B
9426
414k
    2208471502U,  // WHILELS_2PXX_D
9427
414k
    2208487886U,  // WHILELS_2PXX_H
9428
414k
    2208504270U,  // WHILELS_2PXX_S
9429
414k
    3250638U, // WHILELS_CXX_B
9430
414k
    3267022U, // WHILELS_CXX_D
9431
414k
    3283406U, // WHILELS_CXX_H
9432
414k
    3299790U, // WHILELS_CXX_S
9433
414k
    2136526U, // WHILELS_PWW_B
9434
414k
    2152910U, // WHILELS_PWW_D
9435
414k
    2208373198U,  // WHILELS_PWW_H
9436
414k
    2185678U, // WHILELS_PWW_S
9437
414k
    2136526U, // WHILELS_PXX_B
9438
414k
    2152910U, // WHILELS_PXX_D
9439
414k
    2208373198U,  // WHILELS_PXX_H
9440
414k
    2185678U, // WHILELS_PXX_S
9441
414k
    2208455567U,  // WHILELT_2PXX_B
9442
414k
    2208471951U,  // WHILELT_2PXX_D
9443
414k
    2208488335U,  // WHILELT_2PXX_H
9444
414k
    2208504719U,  // WHILELT_2PXX_S
9445
414k
    3251087U, // WHILELT_CXX_B
9446
414k
    3267471U, // WHILELT_CXX_D
9447
414k
    3283855U, // WHILELT_CXX_H
9448
414k
    3300239U, // WHILELT_CXX_S
9449
414k
    2136975U, // WHILELT_PWW_B
9450
414k
    2153359U, // WHILELT_PWW_D
9451
414k
    2208373647U,  // WHILELT_PWW_H
9452
414k
    2186127U, // WHILELT_PWW_S
9453
414k
    2136975U, // WHILELT_PXX_B
9454
414k
    2153359U, // WHILELT_PXX_D
9455
414k
    2208373647U,  // WHILELT_PXX_H
9456
414k
    2186127U, // WHILELT_PXX_S
9457
414k
    2138006U, // WHILERW_PXX_B
9458
414k
    2154390U, // WHILERW_PXX_D
9459
414k
    2208374678U,  // WHILERW_PXX_H
9460
414k
    2187158U, // WHILERW_PXX_S
9461
414k
    2136351U, // WHILEWR_PXX_B
9462
414k
    2152735U, // WHILEWR_PXX_D
9463
414k
    2208373023U,  // WHILEWR_PXX_H
9464
414k
    2185503U, // WHILEWR_PXX_S
9465
414k
    38907U, // WRFFR
9466
414k
    10138U, // XAFLAG
9467
414k
    815896510U, // XAR
9468
414k
    2135998U, // XAR_ZZZI_B
9469
414k
    2418071486U,  // XAR_ZZZI_D
9470
414k
    2189498302U,  // XAR_ZZZI_H
9471
414k
    270620606U, // XAR_ZZZI_S
9472
414k
    19176U, // XPACD
9473
414k
    20476U, // XPACI
9474
414k
    8756U,  // XPACLRI
9475
414k
    2959213033U,  // XTNv16i8
9476
414k
    813798694U, // XTNv2i32
9477
414k
    817992998U, // XTNv4i16
9478
414k
    2967601641U,  // XTNv4i32
9479
414k
    2969698793U,  // XTNv8i16
9480
414k
    824284454U, // XTNv8i8
9481
414k
    1267087U, // ZERO_M
9482
414k
    3005437327U,  // ZERO_MXI_2Z
9483
414k
    3030603151U,  // ZERO_MXI_4Z
9484
414k
    3810743695U,  // ZERO_MXI_VG2_2Z
9485
414k
    3835909519U,  // ZERO_MXI_VG2_4Z
9486
414k
    3798160783U,  // ZERO_MXI_VG2_Z
9487
414k
    4079179151U,  // ZERO_MXI_VG4_2Z
9488
414k
    4104344975U,  // ZERO_MXI_VG4_4Z
9489
414k
    4066596239U,  // ZERO_MXI_VG4_Z
9490
414k
    180379920U, // ZERO_T
9491
414k
    2129985U, // ZIP1_PPP_B
9492
414k
    2418065473U,  // ZIP1_PPP_D
9493
414k
    2189492289U,  // ZIP1_PPP_H
9494
414k
    270614593U, // ZIP1_PPP_S
9495
414k
    2129985U, // ZIP1_ZZZ_B
9496
414k
    2418065473U,  // ZIP1_ZZZ_D
9497
414k
    2189492289U,  // ZIP1_ZZZ_H
9498
414k
    2210873409U,  // ZIP1_ZZZ_Q
9499
414k
    270614593U, // ZIP1_ZZZ_S
9500
414k
    811696193U, // ZIP1v16i8
9501
414k
    813793345U, // ZIP1v2i32
9502
414k
    815890497U, // ZIP1v2i64
9503
414k
    817987649U, // ZIP1v4i16
9504
414k
    820084801U, // ZIP1v4i32
9505
414k
    822181953U, // ZIP1v8i16
9506
414k
    824279105U, // ZIP1v8i8
9507
414k
    2130462U, // ZIP2_PPP_B
9508
414k
    2418065950U,  // ZIP2_PPP_D
9509
414k
    2189492766U,  // ZIP2_PPP_H
9510
414k
    270615070U, // ZIP2_PPP_S
9511
414k
    2130462U, // ZIP2_ZZZ_B
9512
414k
    2418065950U,  // ZIP2_ZZZ_D
9513
414k
    2189492766U,  // ZIP2_ZZZ_H
9514
414k
    2210873886U,  // ZIP2_ZZZ_Q
9515
414k
    270615070U, // ZIP2_ZZZ_S
9516
414k
    811696670U, // ZIP2v16i8
9517
414k
    813793822U, // ZIP2v2i32
9518
414k
    815890974U, // ZIP2v2i64
9519
414k
    817988126U, // ZIP2v4i16
9520
414k
    820085278U, // ZIP2v4i32
9521
414k
    822182430U, // ZIP2v8i16
9522
414k
    824279582U, // ZIP2v8i8
9523
414k
    2129997U, // ZIPQ1_ZZZ_B
9524
414k
    2418065485U,  // ZIPQ1_ZZZ_D
9525
414k
    2189492301U,  // ZIPQ1_ZZZ_H
9526
414k
    270614605U, // ZIPQ1_ZZZ_S
9527
414k
    2130474U, // ZIPQ2_ZZZ_B
9528
414k
    2418065962U,  // ZIPQ2_ZZZ_D
9529
414k
    2189492778U,  // ZIPQ2_ZZZ_H
9530
414k
    270615082U, // ZIPQ2_ZZZ_S
9531
414k
    2197968353U,  // ZIP_VG2_2ZZZ_B
9532
414k
    165844449U, // ZIP_VG2_2ZZZ_D
9533
414k
    2189612513U,  // ZIP_VG2_2ZZZ_H
9534
414k
    2210895329U,  // ZIP_VG2_2ZZZ_Q
9535
414k
    2172851681U,  // ZIP_VG2_2ZZZ_S
9536
414k
    1642223073U,  // ZIP_VG4_4Z4Z_B
9537
414k
    1644336609U,  // ZIP_VG4_4Z4Z_D
9538
414k
    1646450145U,  // ZIP_VG4_4Z4Z_H
9539
414k
    178755041U, // ZIP_VG4_4Z4Z_Q
9540
414k
    1648563681U,  // ZIP_VG4_4Z4Z_S
9541
414k
  };
9542
9543
414k
  static const uint32_t OpInfo1[] = {
9544
414k
    0U, // PHI
9545
414k
    0U, // INLINEASM
9546
414k
    0U, // INLINEASM_BR
9547
414k
    0U, // CFI_INSTRUCTION
9548
414k
    0U, // EH_LABEL
9549
414k
    0U, // GC_LABEL
9550
414k
    0U, // ANNOTATION_LABEL
9551
414k
    0U, // KILL
9552
414k
    0U, // EXTRACT_SUBREG
9553
414k
    0U, // INSERT_SUBREG
9554
414k
    0U, // IMPLICIT_DEF
9555
414k
    0U, // SUBREG_TO_REG
9556
414k
    0U, // COPY_TO_REGCLASS
9557
414k
    0U, // DBG_VALUE
9558
414k
    0U, // DBG_VALUE_LIST
9559
414k
    0U, // DBG_INSTR_REF
9560
414k
    0U, // DBG_PHI
9561
414k
    0U, // DBG_LABEL
9562
414k
    0U, // REG_SEQUENCE
9563
414k
    0U, // COPY
9564
414k
    0U, // BUNDLE
9565
414k
    0U, // LIFETIME_START
9566
414k
    0U, // LIFETIME_END
9567
414k
    0U, // PSEUDO_PROBE
9568
414k
    0U, // ARITH_FENCE
9569
414k
    0U, // STACKMAP
9570
414k
    0U, // FENTRY_CALL
9571
414k
    0U, // PATCHPOINT
9572
414k
    0U, // LOAD_STACK_GUARD
9573
414k
    0U, // PREALLOCATED_SETUP
9574
414k
    0U, // PREALLOCATED_ARG
9575
414k
    0U, // STATEPOINT
9576
414k
    0U, // LOCAL_ESCAPE
9577
414k
    0U, // FAULTING_OP
9578
414k
    0U, // PATCHABLE_OP
9579
414k
    0U, // PATCHABLE_FUNCTION_ENTER
9580
414k
    0U, // PATCHABLE_RET
9581
414k
    0U, // PATCHABLE_FUNCTION_EXIT
9582
414k
    0U, // PATCHABLE_TAIL_CALL
9583
414k
    0U, // PATCHABLE_EVENT_CALL
9584
414k
    0U, // PATCHABLE_TYPED_EVENT_CALL
9585
414k
    0U, // ICALL_BRANCH_FUNNEL
9586
414k
    0U, // MEMBARRIER
9587
414k
    0U, // JUMP_TABLE_DEBUG_INFO
9588
414k
    0U, // G_ASSERT_SEXT
9589
414k
    0U, // G_ASSERT_ZEXT
9590
414k
    0U, // G_ASSERT_ALIGN
9591
414k
    0U, // G_ADD
9592
414k
    0U, // G_SUB
9593
414k
    0U, // G_MUL
9594
414k
    0U, // G_SDIV
9595
414k
    0U, // G_UDIV
9596
414k
    0U, // G_SREM
9597
414k
    0U, // G_UREM
9598
414k
    0U, // G_SDIVREM
9599
414k
    0U, // G_UDIVREM
9600
414k
    0U, // G_AND
9601
414k
    0U, // G_OR
9602
414k
    0U, // G_XOR
9603
414k
    0U, // G_IMPLICIT_DEF
9604
414k
    0U, // G_PHI
9605
414k
    0U, // G_FRAME_INDEX
9606
414k
    0U, // G_GLOBAL_VALUE
9607
414k
    0U, // G_CONSTANT_POOL
9608
414k
    0U, // G_EXTRACT
9609
414k
    0U, // G_UNMERGE_VALUES
9610
414k
    0U, // G_INSERT
9611
414k
    0U, // G_MERGE_VALUES
9612
414k
    0U, // G_BUILD_VECTOR
9613
414k
    0U, // G_BUILD_VECTOR_TRUNC
9614
414k
    0U, // G_CONCAT_VECTORS
9615
414k
    0U, // G_PTRTOINT
9616
414k
    0U, // G_INTTOPTR
9617
414k
    0U, // G_BITCAST
9618
414k
    0U, // G_FREEZE
9619
414k
    0U, // G_CONSTANT_FOLD_BARRIER
9620
414k
    0U, // G_INTRINSIC_FPTRUNC_ROUND
9621
414k
    0U, // G_INTRINSIC_TRUNC
9622
414k
    0U, // G_INTRINSIC_ROUND
9623
414k
    0U, // G_INTRINSIC_LRINT
9624
414k
    0U, // G_INTRINSIC_ROUNDEVEN
9625
414k
    0U, // G_READCYCLECOUNTER
9626
414k
    0U, // G_LOAD
9627
414k
    0U, // G_SEXTLOAD
9628
414k
    0U, // G_ZEXTLOAD
9629
414k
    0U, // G_INDEXED_LOAD
9630
414k
    0U, // G_INDEXED_SEXTLOAD
9631
414k
    0U, // G_INDEXED_ZEXTLOAD
9632
414k
    0U, // G_STORE
9633
414k
    0U, // G_INDEXED_STORE
9634
414k
    0U, // G_ATOMIC_CMPXCHG_WITH_SUCCESS
9635
414k
    0U, // G_ATOMIC_CMPXCHG
9636
414k
    0U, // G_ATOMICRMW_XCHG
9637
414k
    0U, // G_ATOMICRMW_ADD
9638
414k
    0U, // G_ATOMICRMW_SUB
9639
414k
    0U, // G_ATOMICRMW_AND
9640
414k
    0U, // G_ATOMICRMW_NAND
9641
414k
    0U, // G_ATOMICRMW_OR
9642
414k
    0U, // G_ATOMICRMW_XOR
9643
414k
    0U, // G_ATOMICRMW_MAX
9644
414k
    0U, // G_ATOMICRMW_MIN
9645
414k
    0U, // G_ATOMICRMW_UMAX
9646
414k
    0U, // G_ATOMICRMW_UMIN
9647
414k
    0U, // G_ATOMICRMW_FADD
9648
414k
    0U, // G_ATOMICRMW_FSUB
9649
414k
    0U, // G_ATOMICRMW_FMAX
9650
414k
    0U, // G_ATOMICRMW_FMIN
9651
414k
    0U, // G_ATOMICRMW_UINC_WRAP
9652
414k
    0U, // G_ATOMICRMW_UDEC_WRAP
9653
414k
    0U, // G_FENCE
9654
414k
    0U, // G_PREFETCH
9655
414k
    0U, // G_BRCOND
9656
414k
    0U, // G_BRINDIRECT
9657
414k
    0U, // G_INVOKE_REGION_START
9658
414k
    0U, // G_INTRINSIC
9659
414k
    0U, // G_INTRINSIC_W_SIDE_EFFECTS
9660
414k
    0U, // G_INTRINSIC_CONVERGENT
9661
414k
    0U, // G_INTRINSIC_CONVERGENT_W_SIDE_EFFECTS
9662
414k
    0U, // G_ANYEXT
9663
414k
    0U, // G_TRUNC
9664
414k
    0U, // G_CONSTANT
9665
414k
    0U, // G_FCONSTANT
9666
414k
    0U, // G_VASTART
9667
414k
    0U, // G_VAARG
9668
414k
    0U, // G_SEXT
9669
414k
    0U, // G_SEXT_INREG
9670
414k
    0U, // G_ZEXT
9671
414k
    0U, // G_SHL
9672
414k
    0U, // G_LSHR
9673
414k
    0U, // G_ASHR
9674
414k
    0U, // G_FSHL
9675
414k
    0U, // G_FSHR
9676
414k
    0U, // G_ROTR
9677
414k
    0U, // G_ROTL
9678
414k
    0U, // G_ICMP
9679
414k
    0U, // G_FCMP
9680
414k
    0U, // G_SELECT
9681
414k
    0U, // G_UADDO
9682
414k
    0U, // G_UADDE
9683
414k
    0U, // G_USUBO
9684
414k
    0U, // G_USUBE
9685
414k
    0U, // G_SADDO
9686
414k
    0U, // G_SADDE
9687
414k
    0U, // G_SSUBO
9688
414k
    0U, // G_SSUBE
9689
414k
    0U, // G_UMULO
9690
414k
    0U, // G_SMULO
9691
414k
    0U, // G_UMULH
9692
414k
    0U, // G_SMULH
9693
414k
    0U, // G_UADDSAT
9694
414k
    0U, // G_SADDSAT
9695
414k
    0U, // G_USUBSAT
9696
414k
    0U, // G_SSUBSAT
9697
414k
    0U, // G_USHLSAT
9698
414k
    0U, // G_SSHLSAT
9699
414k
    0U, // G_SMULFIX
9700
414k
    0U, // G_UMULFIX
9701
414k
    0U, // G_SMULFIXSAT
9702
414k
    0U, // G_UMULFIXSAT
9703
414k
    0U, // G_SDIVFIX
9704
414k
    0U, // G_UDIVFIX
9705
414k
    0U, // G_SDIVFIXSAT
9706
414k
    0U, // G_UDIVFIXSAT
9707
414k
    0U, // G_FADD
9708
414k
    0U, // G_FSUB
9709
414k
    0U, // G_FMUL
9710
414k
    0U, // G_FMA
9711
414k
    0U, // G_FMAD
9712
414k
    0U, // G_FDIV
9713
414k
    0U, // G_FREM
9714
414k
    0U, // G_FPOW
9715
414k
    0U, // G_FPOWI
9716
414k
    0U, // G_FEXP
9717
414k
    0U, // G_FEXP2
9718
414k
    0U, // G_FEXP10
9719
414k
    0U, // G_FLOG
9720
414k
    0U, // G_FLOG2
9721
414k
    0U, // G_FLOG10
9722
414k
    0U, // G_FLDEXP
9723
414k
    0U, // G_FFREXP
9724
414k
    0U, // G_FNEG
9725
414k
    0U, // G_FPEXT
9726
414k
    0U, // G_FPTRUNC
9727
414k
    0U, // G_FPTOSI
9728
414k
    0U, // G_FPTOUI
9729
414k
    0U, // G_SITOFP
9730
414k
    0U, // G_UITOFP
9731
414k
    0U, // G_FABS
9732
414k
    0U, // G_FCOPYSIGN
9733
414k
    0U, // G_IS_FPCLASS
9734
414k
    0U, // G_FCANONICALIZE
9735
414k
    0U, // G_FMINNUM
9736
414k
    0U, // G_FMAXNUM
9737
414k
    0U, // G_FMINNUM_IEEE
9738
414k
    0U, // G_FMAXNUM_IEEE
9739
414k
    0U, // G_FMINIMUM
9740
414k
    0U, // G_FMAXIMUM
9741
414k
    0U, // G_GET_FPENV
9742
414k
    0U, // G_SET_FPENV
9743
414k
    0U, // G_RESET_FPENV
9744
414k
    0U, // G_GET_FPMODE
9745
414k
    0U, // G_SET_FPMODE
9746
414k
    0U, // G_RESET_FPMODE
9747
414k
    0U, // G_PTR_ADD
9748
414k
    0U, // G_PTRMASK
9749
414k
    0U, // G_SMIN
9750
414k
    0U, // G_SMAX
9751
414k
    0U, // G_UMIN
9752
414k
    0U, // G_UMAX
9753
414k
    0U, // G_ABS
9754
414k
    0U, // G_LROUND
9755
414k
    0U, // G_LLROUND
9756
414k
    0U, // G_BR
9757
414k
    0U, // G_BRJT
9758
414k
    0U, // G_INSERT_VECTOR_ELT
9759
414k
    0U, // G_EXTRACT_VECTOR_ELT
9760
414k
    0U, // G_SHUFFLE_VECTOR
9761
414k
    0U, // G_CTTZ
9762
414k
    0U, // G_CTTZ_ZERO_UNDEF
9763
414k
    0U, // G_CTLZ
9764
414k
    0U, // G_CTLZ_ZERO_UNDEF
9765
414k
    0U, // G_CTPOP
9766
414k
    0U, // G_BSWAP
9767
414k
    0U, // G_BITREVERSE
9768
414k
    0U, // G_FCEIL
9769
414k
    0U, // G_FCOS
9770
414k
    0U, // G_FSIN
9771
414k
    0U, // G_FSQRT
9772
414k
    0U, // G_FFLOOR
9773
414k
    0U, // G_FRINT
9774
414k
    0U, // G_FNEARBYINT
9775
414k
    0U, // G_ADDRSPACE_CAST
9776
414k
    0U, // G_BLOCK_ADDR
9777
414k
    0U, // G_JUMP_TABLE
9778
414k
    0U, // G_DYN_STACKALLOC
9779
414k
    0U, // G_STACKSAVE
9780
414k
    0U, // G_STACKRESTORE
9781
414k
    0U, // G_STRICT_FADD
9782
414k
    0U, // G_STRICT_FSUB
9783
414k
    0U, // G_STRICT_FMUL
9784
414k
    0U, // G_STRICT_FDIV
9785
414k
    0U, // G_STRICT_FREM
9786
414k
    0U, // G_STRICT_FMA
9787
414k
    0U, // G_STRICT_FSQRT
9788
414k
    0U, // G_STRICT_FLDEXP
9789
414k
    0U, // G_READ_REGISTER
9790
414k
    0U, // G_WRITE_REGISTER
9791
414k
    0U, // G_MEMCPY
9792
414k
    0U, // G_MEMCPY_INLINE
9793
414k
    0U, // G_MEMMOVE
9794
414k
    0U, // G_MEMSET
9795
414k
    0U, // G_BZERO
9796
414k
    0U, // G_VECREDUCE_SEQ_FADD
9797
414k
    0U, // G_VECREDUCE_SEQ_FMUL
9798
414k
    0U, // G_VECREDUCE_FADD
9799
414k
    0U, // G_VECREDUCE_FMUL
9800
414k
    0U, // G_VECREDUCE_FMAX
9801
414k
    0U, // G_VECREDUCE_FMIN
9802
414k
    0U, // G_VECREDUCE_FMAXIMUM
9803
414k
    0U, // G_VECREDUCE_FMINIMUM
9804
414k
    0U, // G_VECREDUCE_ADD
9805
414k
    0U, // G_VECREDUCE_MUL
9806
414k
    0U, // G_VECREDUCE_AND
9807
414k
    0U, // G_VECREDUCE_OR
9808
414k
    0U, // G_VECREDUCE_XOR
9809
414k
    0U, // G_VECREDUCE_SMAX
9810
414k
    0U, // G_VECREDUCE_SMIN
9811
414k
    0U, // G_VECREDUCE_UMAX
9812
414k
    0U, // G_VECREDUCE_UMIN
9813
414k
    0U, // G_SBFX
9814
414k
    0U, // G_UBFX
9815
414k
    0U, // ABS_ZPmZ_B_UNDEF
9816
414k
    0U, // ABS_ZPmZ_D_UNDEF
9817
414k
    0U, // ABS_ZPmZ_H_UNDEF
9818
414k
    0U, // ABS_ZPmZ_S_UNDEF
9819
414k
    0U, // ADDHA_MPPZ_D_PSEUDO_D
9820
414k
    0U, // ADDHA_MPPZ_S_PSEUDO_S
9821
414k
    0U, // ADDSWrr
9822
414k
    0U, // ADDSXrr
9823
414k
    0U, // ADDVA_MPPZ_D_PSEUDO_D
9824
414k
    0U, // ADDVA_MPPZ_S_PSEUDO_S
9825
414k
    0U, // ADDWrr
9826
414k
    0U, // ADDXrr
9827
414k
    0U, // ADD_VG2_M2Z2Z_D_PSEUDO
9828
414k
    0U, // ADD_VG2_M2Z2Z_S_PSEUDO
9829
414k
    0U, // ADD_VG2_M2ZZ_D_PSEUDO
9830
414k
    0U, // ADD_VG2_M2ZZ_S_PSEUDO
9831
414k
    0U, // ADD_VG2_M2Z_D_PSEUDO
9832
414k
    0U, // ADD_VG2_M2Z_S_PSEUDO
9833
414k
    0U, // ADD_VG4_M4Z4Z_D_PSEUDO
9834
414k
    0U, // ADD_VG4_M4Z4Z_S_PSEUDO
9835
414k
    0U, // ADD_VG4_M4ZZ_D_PSEUDO
9836
414k
    0U, // ADD_VG4_M4ZZ_S_PSEUDO
9837
414k
    0U, // ADD_VG4_M4Z_D_PSEUDO
9838
414k
    0U, // ADD_VG4_M4Z_S_PSEUDO
9839
414k
    0U, // ADD_ZPZZ_B_ZERO
9840
414k
    0U, // ADD_ZPZZ_D_ZERO
9841
414k
    0U, // ADD_ZPZZ_H_ZERO
9842
414k
    0U, // ADD_ZPZZ_S_ZERO
9843
414k
    0U, // ADDlowTLS
9844
414k
    0U, // ADJCALLSTACKDOWN
9845
414k
    0U, // ADJCALLSTACKUP
9846
414k
    0U, // AESIMCrrTied
9847
414k
    0U, // AESMCrrTied
9848
414k
    0U, // ANDSWrr
9849
414k
    0U, // ANDSXrr
9850
414k
    0U, // ANDWrr
9851
414k
    0U, // ANDXrr
9852
414k
    0U, // AND_ZPZZ_B_ZERO
9853
414k
    0U, // AND_ZPZZ_D_ZERO
9854
414k
    0U, // AND_ZPZZ_H_ZERO
9855
414k
    0U, // AND_ZPZZ_S_ZERO
9856
414k
    0U, // ASRD_ZPZI_B_ZERO
9857
414k
    0U, // ASRD_ZPZI_D_ZERO
9858
414k
    0U, // ASRD_ZPZI_H_ZERO
9859
414k
    0U, // ASRD_ZPZI_S_ZERO
9860
414k
    0U, // ASR_ZPZI_B_UNDEF
9861
414k
    0U, // ASR_ZPZI_B_ZERO
9862
414k
    0U, // ASR_ZPZI_D_UNDEF
9863
414k
    0U, // ASR_ZPZI_D_ZERO
9864
414k
    0U, // ASR_ZPZI_H_UNDEF
9865
414k
    0U, // ASR_ZPZI_H_ZERO
9866
414k
    0U, // ASR_ZPZI_S_UNDEF
9867
414k
    0U, // ASR_ZPZI_S_ZERO
9868
414k
    0U, // ASR_ZPZZ_B_UNDEF
9869
414k
    0U, // ASR_ZPZZ_B_ZERO
9870
414k
    0U, // ASR_ZPZZ_D_UNDEF
9871
414k
    0U, // ASR_ZPZZ_D_ZERO
9872
414k
    0U, // ASR_ZPZZ_H_UNDEF
9873
414k
    0U, // ASR_ZPZZ_H_ZERO
9874
414k
    0U, // ASR_ZPZZ_S_UNDEF
9875
414k
    0U, // ASR_ZPZZ_S_ZERO
9876
414k
    0U, // BFADD_VG2_M2Z_H_PSEUDO
9877
414k
    0U, // BFADD_VG4_M4Z_H_PSEUDO
9878
414k
    0U, // BFADD_ZPZZ_UNDEF
9879
414k
    0U, // BFADD_ZPZZ_ZERO
9880
414k
    0U, // BFDOT_VG2_M2Z2Z_HtoS_PSEUDO
9881
414k
    0U, // BFDOT_VG2_M2ZZI_HtoS_PSEUDO
9882
414k
    0U, // BFDOT_VG2_M2ZZ_HtoS_PSEUDO
9883
414k
    0U, // BFDOT_VG4_M4Z4Z_HtoS_PSEUDO
9884
414k
    0U, // BFDOT_VG4_M4ZZI_HtoS_PSEUDO
9885
414k
    0U, // BFDOT_VG4_M4ZZ_HtoS_PSEUDO
9886
414k
    0U, // BFMAXNM_ZPZZ_UNDEF
9887
414k
    0U, // BFMAXNM_ZPZZ_ZERO
9888
414k
    0U, // BFMAX_ZPZZ_UNDEF
9889
414k
    0U, // BFMAX_ZPZZ_ZERO
9890
414k
    0U, // BFMINNM_ZPZZ_UNDEF
9891
414k
    0U, // BFMINNM_ZPZZ_ZERO
9892
414k
    0U, // BFMIN_ZPZZ_UNDEF
9893
414k
    0U, // BFMIN_ZPZZ_ZERO
9894
414k
    0U, // BFMLAL_MZZI_HtoS_PSEUDO
9895
414k
    0U, // BFMLAL_MZZ_HtoS_PSEUDO
9896
414k
    0U, // BFMLAL_VG2_M2Z2Z_HtoS_PSEUDO
9897
414k
    0U, // BFMLAL_VG2_M2ZZI_HtoS_PSEUDO
9898
414k
    0U, // BFMLAL_VG2_M2ZZ_HtoS_PSEUDO
9899
414k
    0U, // BFMLAL_VG4_M4Z4Z_HtoS_PSEUDO
9900
414k
    0U, // BFMLAL_VG4_M4ZZI_HtoS_PSEUDO
9901
414k
    0U, // BFMLAL_VG4_M4ZZ_HtoS_PSEUDO
9902
414k
    0U, // BFMLA_VG2_M2Z2Z_PSEUDO
9903
414k
    0U, // BFMLA_VG4_M4Z4Z_PSEUDO
9904
414k
    0U, // BFMLA_ZPZZZ_UNDEF
9905
414k
    0U, // BFMLSL_MZZI_HtoS_PSEUDO
9906
414k
    0U, // BFMLSL_MZZ_HtoS_PSEUDO
9907
414k
    0U, // BFMLSL_VG2_M2Z2Z_HtoS_PSEUDO
9908
414k
    0U, // BFMLSL_VG2_M2ZZI_HtoS_PSEUDO
9909
414k
    0U, // BFMLSL_VG2_M2ZZ_HtoS_PSEUDO
9910
414k
    0U, // BFMLSL_VG4_M4Z4Z_HtoS_PSEUDO
9911
414k
    0U, // BFMLSL_VG4_M4ZZI_HtoS_PSEUDO
9912
414k
    0U, // BFMLSL_VG4_M4ZZ_HtoS_PSEUDO
9913
414k
    0U, // BFMLS_VG2_M2Z2Z_PSEUDO
9914
414k
    0U, // BFMLS_VG4_M4Z4Z_PSEUDO
9915
414k
    0U, // BFMLS_ZPZZZ_UNDEF
9916
414k
    0U, // BFMOPA_MPPZZ_PSEUDO
9917
414k
    0U, // BFMOPS_MPPZZ_PSEUDO
9918
414k
    0U, // BFMUL_ZPZZ_UNDEF
9919
414k
    0U, // BFMUL_ZPZZ_ZERO
9920
414k
    0U, // BFSUB_VG2_M2Z_H_PSEUDO
9921
414k
    0U, // BFSUB_VG4_M4Z_H_PSEUDO
9922
414k
    0U, // BFSUB_ZPZZ_UNDEF
9923
414k
    0U, // BFSUB_ZPZZ_ZERO
9924
414k
    0U, // BFVDOT_VG2_M2ZZI_HtoS_PSEUDO
9925
414k
    0U, // BICSWrr
9926
414k
    0U, // BICSXrr
9927
414k
    0U, // BICWrr
9928
414k
    0U, // BICXrr
9929
414k
    0U, // BIC_ZPZZ_B_ZERO
9930
414k
    0U, // BIC_ZPZZ_D_ZERO
9931
414k
    0U, // BIC_ZPZZ_H_ZERO
9932
414k
    0U, // BIC_ZPZZ_S_ZERO
9933
414k
    0U, // BLRNoIP
9934
414k
    0U, // BLR_BTI
9935
414k
    0U, // BLR_RVMARKER
9936
414k
    0U, // BLR_X16
9937
414k
    0U, // BMOPA_MPPZZ_S_PSEUDO
9938
414k
    0U, // BMOPS_MPPZZ_S_PSEUDO
9939
414k
    0U, // BSPv16i8
9940
414k
    0U, // BSPv8i8
9941
414k
    0U, // CATCHRET
9942
414k
    0U, // CLEANUPRET
9943
414k
    0U, // CLS_ZPmZ_B_UNDEF
9944
414k
    0U, // CLS_ZPmZ_D_UNDEF
9945
414k
    0U, // CLS_ZPmZ_H_UNDEF
9946
414k
    0U, // CLS_ZPmZ_S_UNDEF
9947
414k
    0U, // CLZ_ZPmZ_B_UNDEF
9948
414k
    0U, // CLZ_ZPmZ_D_UNDEF
9949
414k
    0U, // CLZ_ZPmZ_H_UNDEF
9950
414k
    0U, // CLZ_ZPmZ_S_UNDEF
9951
414k
    0U, // CMP_SWAP_128
9952
414k
    0U, // CMP_SWAP_128_ACQUIRE
9953
414k
    0U, // CMP_SWAP_128_MONOTONIC
9954
414k
    0U, // CMP_SWAP_128_RELEASE
9955
414k
    0U, // CMP_SWAP_16
9956
414k
    0U, // CMP_SWAP_32
9957
414k
    0U, // CMP_SWAP_64
9958
414k
    0U, // CMP_SWAP_8
9959
414k
    0U, // CNOT_ZPmZ_B_UNDEF
9960
414k
    0U, // CNOT_ZPmZ_D_UNDEF
9961
414k
    0U, // CNOT_ZPmZ_H_UNDEF
9962
414k
    0U, // CNOT_ZPmZ_S_UNDEF
9963
414k
    0U, // CNT_ZPmZ_B_UNDEF
9964
414k
    0U, // CNT_ZPmZ_D_UNDEF
9965
414k
    0U, // CNT_ZPmZ_H_UNDEF
9966
414k
    0U, // CNT_ZPmZ_S_UNDEF
9967
414k
    0U, // COALESCER_BARRIER_FPR128
9968
414k
    0U, // COALESCER_BARRIER_FPR16
9969
414k
    0U, // COALESCER_BARRIER_FPR32
9970
414k
    0U, // COALESCER_BARRIER_FPR64
9971
414k
    0U, // EMITBKEY
9972
414k
    0U, // EMITMTETAGGED
9973
414k
    0U, // EONWrr
9974
414k
    0U, // EONXrr
9975
414k
    0U, // EORWrr
9976
414k
    0U, // EORXrr
9977
414k
    0U, // EOR_ZPZZ_B_ZERO
9978
414k
    0U, // EOR_ZPZZ_D_ZERO
9979
414k
    0U, // EOR_ZPZZ_H_ZERO
9980
414k
    0U, // EOR_ZPZZ_S_ZERO
9981
414k
    0U, // F128CSEL
9982
414k
    0U, // FABD_ZPZZ_D_UNDEF
9983
414k
    0U, // FABD_ZPZZ_D_ZERO
9984
414k
    0U, // FABD_ZPZZ_H_UNDEF
9985
414k
    0U, // FABD_ZPZZ_H_ZERO
9986
414k
    0U, // FABD_ZPZZ_S_UNDEF
9987
414k
    0U, // FABD_ZPZZ_S_ZERO
9988
414k
    0U, // FABS_ZPmZ_D_UNDEF
9989
414k
    0U, // FABS_ZPmZ_H_UNDEF
9990
414k
    0U, // FABS_ZPmZ_S_UNDEF
9991
414k
    0U, // FADD_VG2_M2Z_D_PSEUDO
9992
414k
    0U, // FADD_VG2_M2Z_H_PSEUDO
9993
414k
    0U, // FADD_VG2_M2Z_S_PSEUDO
9994
414k
    0U, // FADD_VG4_M4Z_D_PSEUDO
9995
414k
    0U, // FADD_VG4_M4Z_H_PSEUDO
9996
414k
    0U, // FADD_VG4_M4Z_S_PSEUDO
9997
414k
    0U, // FADD_ZPZI_D_UNDEF
9998
414k
    0U, // FADD_ZPZI_D_ZERO
9999
414k
    0U, // FADD_ZPZI_H_UNDEF
10000
414k
    0U, // FADD_ZPZI_H_ZERO
10001
414k
    0U, // FADD_ZPZI_S_UNDEF
10002
414k
    0U, // FADD_ZPZI_S_ZERO
10003
414k
    0U, // FADD_ZPZZ_D_UNDEF
10004
414k
    0U, // FADD_ZPZZ_D_ZERO
10005
414k
    0U, // FADD_ZPZZ_H_UNDEF
10006
414k
    0U, // FADD_ZPZZ_H_ZERO
10007
414k
    0U, // FADD_ZPZZ_S_UNDEF
10008
414k
    0U, // FADD_ZPZZ_S_ZERO
10009
414k
    0U, // FCVTZS_ZPmZ_DtoD_UNDEF
10010
414k
    0U, // FCVTZS_ZPmZ_DtoS_UNDEF
10011
414k
    0U, // FCVTZS_ZPmZ_HtoD_UNDEF
10012
414k
    0U, // FCVTZS_ZPmZ_HtoH_UNDEF
10013
414k
    0U, // FCVTZS_ZPmZ_HtoS_UNDEF
10014
414k
    0U, // FCVTZS_ZPmZ_StoD_UNDEF
10015
414k
    0U, // FCVTZS_ZPmZ_StoS_UNDEF
10016
414k
    0U, // FCVTZU_ZPmZ_DtoD_UNDEF
10017
414k
    0U, // FCVTZU_ZPmZ_DtoS_UNDEF
10018
414k
    0U, // FCVTZU_ZPmZ_HtoD_UNDEF
10019
414k
    0U, // FCVTZU_ZPmZ_HtoH_UNDEF
10020
414k
    0U, // FCVTZU_ZPmZ_HtoS_UNDEF
10021
414k
    0U, // FCVTZU_ZPmZ_StoD_UNDEF
10022
414k
    0U, // FCVTZU_ZPmZ_StoS_UNDEF
10023
414k
    0U, // FCVT_ZPmZ_DtoH_UNDEF
10024
414k
    0U, // FCVT_ZPmZ_DtoS_UNDEF
10025
414k
    0U, // FCVT_ZPmZ_HtoD_UNDEF
10026
414k
    0U, // FCVT_ZPmZ_HtoS_UNDEF
10027
414k
    0U, // FCVT_ZPmZ_StoD_UNDEF
10028
414k
    0U, // FCVT_ZPmZ_StoH_UNDEF
10029
414k
    0U, // FDIVR_ZPZZ_D_ZERO
10030
414k
    0U, // FDIVR_ZPZZ_H_ZERO
10031
414k
    0U, // FDIVR_ZPZZ_S_ZERO
10032
414k
    0U, // FDIV_ZPZZ_D_UNDEF
10033
414k
    0U, // FDIV_ZPZZ_D_ZERO
10034
414k
    0U, // FDIV_ZPZZ_H_UNDEF
10035
414k
    0U, // FDIV_ZPZZ_H_ZERO
10036
414k
    0U, // FDIV_ZPZZ_S_UNDEF
10037
414k
    0U, // FDIV_ZPZZ_S_ZERO
10038
414k
    0U, // FDOT_VG2_M2Z2Z_BtoH_PSEUDO
10039
414k
    0U, // FDOT_VG2_M2Z2Z_BtoS_PSEUDO
10040
414k
    0U, // FDOT_VG2_M2Z2Z_HtoS_PSEUDO
10041
414k
    0U, // FDOT_VG2_M2ZZI_BtoS_PSEUDO
10042
414k
    0U, // FDOT_VG2_M2ZZI_HtoS_PSEUDO
10043
414k
    0U, // FDOT_VG2_M2ZZ_HtoS_PSEUDO
10044
414k
    0U, // FDOT_VG4_M4Z4Z_BtoH_PSEUDO
10045
414k
    0U, // FDOT_VG4_M4Z4Z_BtoS_PSEUDO
10046
414k
    0U, // FDOT_VG4_M4Z4Z_HtoS_PSEUDO
10047
414k
    0U, // FDOT_VG4_M4ZZI_BtoS_PSEUDO
10048
414k
    0U, // FDOT_VG4_M4ZZI_HtoS_PSEUDO
10049
414k
    0U, // FDOT_VG4_M4ZZ_HtoS_PSEUDO
10050
414k
    0U, // FLOGB_ZPZZ_D_ZERO
10051
414k
    0U, // FLOGB_ZPZZ_H_ZERO
10052
414k
    0U, // FLOGB_ZPZZ_S_ZERO
10053
414k
    0U, // FMAXNM_ZPZI_D_UNDEF
10054
414k
    0U, // FMAXNM_ZPZI_D_ZERO
10055
414k
    0U, // FMAXNM_ZPZI_H_UNDEF
10056
414k
    0U, // FMAXNM_ZPZI_H_ZERO
10057
414k
    0U, // FMAXNM_ZPZI_S_UNDEF
10058
414k
    0U, // FMAXNM_ZPZI_S_ZERO
10059
414k
    0U, // FMAXNM_ZPZZ_D_UNDEF
10060
414k
    0U, // FMAXNM_ZPZZ_D_ZERO
10061
414k
    0U, // FMAXNM_ZPZZ_H_UNDEF
10062
414k
    0U, // FMAXNM_ZPZZ_H_ZERO
10063
414k
    0U, // FMAXNM_ZPZZ_S_UNDEF
10064
414k
    0U, // FMAXNM_ZPZZ_S_ZERO
10065
414k
    0U, // FMAX_ZPZI_D_UNDEF
10066
414k
    0U, // FMAX_ZPZI_D_ZERO
10067
414k
    0U, // FMAX_ZPZI_H_UNDEF
10068
414k
    0U, // FMAX_ZPZI_H_ZERO
10069
414k
    0U, // FMAX_ZPZI_S_UNDEF
10070
414k
    0U, // FMAX_ZPZI_S_ZERO
10071
414k
    0U, // FMAX_ZPZZ_D_UNDEF
10072
414k
    0U, // FMAX_ZPZZ_D_ZERO
10073
414k
    0U, // FMAX_ZPZZ_H_UNDEF
10074
414k
    0U, // FMAX_ZPZZ_H_ZERO
10075
414k
    0U, // FMAX_ZPZZ_S_UNDEF
10076
414k
    0U, // FMAX_ZPZZ_S_ZERO
10077
414k
    0U, // FMINNM_ZPZI_D_UNDEF
10078
414k
    0U, // FMINNM_ZPZI_D_ZERO
10079
414k
    0U, // FMINNM_ZPZI_H_UNDEF
10080
414k
    0U, // FMINNM_ZPZI_H_ZERO
10081
414k
    0U, // FMINNM_ZPZI_S_UNDEF
10082
414k
    0U, // FMINNM_ZPZI_S_ZERO
10083
414k
    0U, // FMINNM_ZPZZ_D_UNDEF
10084
414k
    0U, // FMINNM_ZPZZ_D_ZERO
10085
414k
    0U, // FMINNM_ZPZZ_H_UNDEF
10086
414k
    0U, // FMINNM_ZPZZ_H_ZERO
10087
414k
    0U, // FMINNM_ZPZZ_S_UNDEF
10088
414k
    0U, // FMINNM_ZPZZ_S_ZERO
10089
414k
    0U, // FMIN_ZPZI_D_UNDEF
10090
414k
    0U, // FMIN_ZPZI_D_ZERO
10091
414k
    0U, // FMIN_ZPZI_H_UNDEF
10092
414k
    0U, // FMIN_ZPZI_H_ZERO
10093
414k
    0U, // FMIN_ZPZI_S_UNDEF
10094
414k
    0U, // FMIN_ZPZI_S_ZERO
10095
414k
    0U, // FMIN_ZPZZ_D_UNDEF
10096
414k
    0U, // FMIN_ZPZZ_D_ZERO
10097
414k
    0U, // FMIN_ZPZZ_H_UNDEF
10098
414k
    0U, // FMIN_ZPZZ_H_ZERO
10099
414k
    0U, // FMIN_ZPZZ_S_UNDEF
10100
414k
    0U, // FMIN_ZPZZ_S_ZERO
10101
414k
    0U, // FMLALL_MZZI_BtoS_PSEUDO
10102
414k
    0U, // FMLALL_MZZ_BtoS_PSEUDO
10103
414k
    0U, // FMLALL_VG2_M2Z2Z_BtoS_PSEUDO
10104
414k
    0U, // FMLALL_VG2_M2ZZI_BtoS_PSEUDO
10105
414k
    0U, // FMLALL_VG2_M2ZZ_BtoS_PSEUDO
10106
414k
    0U, // FMLALL_VG4_M4Z4Z_BtoS_PSEUDO
10107
414k
    0U, // FMLALL_VG4_M4ZZI_BtoS_PSEUDO
10108
414k
    0U, // FMLALL_VG4_M4ZZ_BtoS_PSEUDO
10109
414k
    0U, // FMLAL_MZZI_HtoS_PSEUDO
10110
414k
    0U, // FMLAL_MZZ_HtoS_PSEUDO
10111
414k
    0U, // FMLAL_VG2_M2Z2Z_BtoH_PSEUDO
10112
414k
    0U, // FMLAL_VG2_M2Z2Z_HtoS_PSEUDO
10113
414k
    0U, // FMLAL_VG2_M2ZZI_HtoS_PSEUDO
10114
414k
    0U, // FMLAL_VG2_M2ZZ_BtoH_PSEUDO
10115
414k
    0U, // FMLAL_VG2_M2ZZ_HtoS_PSEUDO
10116
414k
    0U, // FMLAL_VG4_M4Z4Z_BtoH_PSEUDO
10117
414k
    0U, // FMLAL_VG4_M4Z4Z_HtoS_PSEUDO
10118
414k
    0U, // FMLAL_VG4_M4ZZI_HtoS_PSEUDO
10119
414k
    0U, // FMLAL_VG4_M4ZZ_BtoH_PSEUDO
10120
414k
    0U, // FMLAL_VG4_M4ZZ_HtoS_PSEUDO
10121
414k
    0U, // FMLA_VG2_M2Z2Z_D_PSEUDO
10122
414k
    0U, // FMLA_VG2_M2Z2Z_S_PSEUDO
10123
414k
    0U, // FMLA_VG2_M2Z4Z_H_PSEUDO
10124
414k
    0U, // FMLA_VG2_M2ZZI_D_PSEUDO
10125
414k
    0U, // FMLA_VG2_M2ZZI_S_PSEUDO
10126
414k
    0U, // FMLA_VG2_M2ZZ_D_PSEUDO
10127
414k
    0U, // FMLA_VG2_M2ZZ_S_PSEUDO
10128
414k
    0U, // FMLA_VG4_M4Z4Z_D_PSEUDO
10129
414k
    0U, // FMLA_VG4_M4Z4Z_H_PSEUDO
10130
414k
    0U, // FMLA_VG4_M4Z4Z_S_PSEUDO
10131
414k
    0U, // FMLA_VG4_M4ZZI_D_PSEUDO
10132
414k
    0U, // FMLA_VG4_M4ZZI_S_PSEUDO
10133
414k
    0U, // FMLA_VG4_M4ZZ_D_PSEUDO
10134
414k
    0U, // FMLA_VG4_M4ZZ_S_PSEUDO
10135
414k
    0U, // FMLA_ZPZZZ_D_UNDEF
10136
414k
    0U, // FMLA_ZPZZZ_H_UNDEF
10137
414k
    0U, // FMLA_ZPZZZ_S_UNDEF
10138
414k
    0U, // FMLSL_MZZI_HtoS_PSEUDO
10139
414k
    0U, // FMLSL_MZZ_HtoS_PSEUDO
10140
414k
    0U, // FMLSL_VG2_M2Z2Z_HtoS_PSEUDO
10141
414k
    0U, // FMLSL_VG2_M2ZZI_HtoS_PSEUDO
10142
414k
    0U, // FMLSL_VG2_M2ZZ_HtoS_PSEUDO
10143
414k
    0U, // FMLSL_VG4_M4Z4Z_HtoS_PSEUDO
10144
414k
    0U, // FMLSL_VG4_M4ZZI_HtoS_PSEUDO
10145
414k
    0U, // FMLSL_VG4_M4ZZ_HtoS_PSEUDO
10146
414k
    0U, // FMLS_VG2_M2Z2Z_D_PSEUDO
10147
414k
    0U, // FMLS_VG2_M2Z2Z_H_PSEUDO
10148
414k
    0U, // FMLS_VG2_M2Z2Z_S_PSEUDO
10149
414k
    0U, // FMLS_VG2_M2ZZI_D_PSEUDO
10150
414k
    0U, // FMLS_VG2_M2ZZI_S_PSEUDO
10151
414k
    0U, // FMLS_VG2_M2ZZ_D_PSEUDO
10152
414k
    0U, // FMLS_VG2_M2ZZ_S_PSEUDO
10153
414k
    0U, // FMLS_VG4_M4Z2Z_H_PSEUDO
10154
414k
    0U, // FMLS_VG4_M4Z4Z_D_PSEUDO
10155
414k
    0U, // FMLS_VG4_M4Z4Z_S_PSEUDO
10156
414k
    0U, // FMLS_VG4_M4ZZI_D_PSEUDO
10157
414k
    0U, // FMLS_VG4_M4ZZI_S_PSEUDO
10158
414k
    0U, // FMLS_VG4_M4ZZ_D_PSEUDO
10159
414k
    0U, // FMLS_VG4_M4ZZ_S_PSEUDO
10160
414k
    0U, // FMLS_ZPZZZ_D_UNDEF
10161
414k
    0U, // FMLS_ZPZZZ_H_UNDEF
10162
414k
    0U, // FMLS_ZPZZZ_S_UNDEF
10163
414k
    0U, // FMOPAL_MPPZZ_PSEUDO
10164
414k
    0U, // FMOPA_MPPZZ_BtoS_PSEUDO
10165
414k
    0U, // FMOPA_MPPZZ_D_PSEUDO
10166
414k
    0U, // FMOPA_MPPZZ_S_PSEUDO
10167
414k
    0U, // FMOPSL_MPPZZ_PSEUDO
10168
414k
    0U, // FMOPS_MPPZZ_D_PSEUDO
10169
414k
    0U, // FMOPS_MPPZZ_S_PSEUDO
10170
414k
    0U, // FMOVD0
10171
414k
    0U, // FMOVH0
10172
414k
    0U, // FMOVS0
10173
414k
    0U, // FMULX_ZPZZ_D_UNDEF
10174
414k
    0U, // FMULX_ZPZZ_D_ZERO
10175
414k
    0U, // FMULX_ZPZZ_H_UNDEF
10176
414k
    0U, // FMULX_ZPZZ_H_ZERO
10177
414k
    0U, // FMULX_ZPZZ_S_UNDEF
10178
414k
    0U, // FMULX_ZPZZ_S_ZERO
10179
414k
    0U, // FMUL_ZPZI_D_UNDEF
10180
414k
    0U, // FMUL_ZPZI_D_ZERO
10181
414k
    0U, // FMUL_ZPZI_H_UNDEF
10182
414k
    0U, // FMUL_ZPZI_H_ZERO
10183
414k
    0U, // FMUL_ZPZI_S_UNDEF
10184
414k
    0U, // FMUL_ZPZI_S_ZERO
10185
414k
    0U, // FMUL_ZPZZ_D_UNDEF
10186
414k
    0U, // FMUL_ZPZZ_D_ZERO
10187
414k
    0U, // FMUL_ZPZZ_H_UNDEF
10188
414k
    0U, // FMUL_ZPZZ_H_ZERO
10189
414k
    0U, // FMUL_ZPZZ_S_UNDEF
10190
414k
    0U, // FMUL_ZPZZ_S_ZERO
10191
414k
    0U, // FNEG_ZPmZ_D_UNDEF
10192
414k
    0U, // FNEG_ZPmZ_H_UNDEF
10193
414k
    0U, // FNEG_ZPmZ_S_UNDEF
10194
414k
    0U, // FNMLA_ZPZZZ_D_UNDEF
10195
414k
    0U, // FNMLA_ZPZZZ_H_UNDEF
10196
414k
    0U, // FNMLA_ZPZZZ_S_UNDEF
10197
414k
    0U, // FNMLS_ZPZZZ_D_UNDEF
10198
414k
    0U, // FNMLS_ZPZZZ_H_UNDEF
10199
414k
    0U, // FNMLS_ZPZZZ_S_UNDEF
10200
414k
    0U, // FRECPX_ZPmZ_D_UNDEF
10201
414k
    0U, // FRECPX_ZPmZ_H_UNDEF
10202
414k
    0U, // FRECPX_ZPmZ_S_UNDEF
10203
414k
    0U, // FRINTA_ZPmZ_D_UNDEF
10204
414k
    0U, // FRINTA_ZPmZ_H_UNDEF
10205
414k
    0U, // FRINTA_ZPmZ_S_UNDEF
10206
414k
    0U, // FRINTI_ZPmZ_D_UNDEF
10207
414k
    0U, // FRINTI_ZPmZ_H_UNDEF
10208
414k
    0U, // FRINTI_ZPmZ_S_UNDEF
10209
414k
    0U, // FRINTM_ZPmZ_D_UNDEF
10210
414k
    0U, // FRINTM_ZPmZ_H_UNDEF
10211
414k
    0U, // FRINTM_ZPmZ_S_UNDEF
10212
414k
    0U, // FRINTN_ZPmZ_D_UNDEF
10213
414k
    0U, // FRINTN_ZPmZ_H_UNDEF
10214
414k
    0U, // FRINTN_ZPmZ_S_UNDEF
10215
414k
    0U, // FRINTP_ZPmZ_D_UNDEF
10216
414k
    0U, // FRINTP_ZPmZ_H_UNDEF
10217
414k
    0U, // FRINTP_ZPmZ_S_UNDEF
10218
414k
    0U, // FRINTX_ZPmZ_D_UNDEF
10219
414k
    0U, // FRINTX_ZPmZ_H_UNDEF
10220
414k
    0U, // FRINTX_ZPmZ_S_UNDEF
10221
414k
    0U, // FRINTZ_ZPmZ_D_UNDEF
10222
414k
    0U, // FRINTZ_ZPmZ_H_UNDEF
10223
414k
    0U, // FRINTZ_ZPmZ_S_UNDEF
10224
414k
    0U, // FSQRT_ZPmZ_D_UNDEF
10225
414k
    0U, // FSQRT_ZPmZ_H_UNDEF
10226
414k
    0U, // FSQRT_ZPmZ_S_UNDEF
10227
414k
    0U, // FSUBR_ZPZI_D_UNDEF
10228
414k
    0U, // FSUBR_ZPZI_D_ZERO
10229
414k
    0U, // FSUBR_ZPZI_H_UNDEF
10230
414k
    0U, // FSUBR_ZPZI_H_ZERO
10231
414k
    0U, // FSUBR_ZPZI_S_UNDEF
10232
414k
    0U, // FSUBR_ZPZI_S_ZERO
10233
414k
    0U, // FSUBR_ZPZZ_D_ZERO
10234
414k
    0U, // FSUBR_ZPZZ_H_ZERO
10235
414k
    0U, // FSUBR_ZPZZ_S_ZERO
10236
414k
    0U, // FSUB_VG2_M2Z_D_PSEUDO
10237
414k
    0U, // FSUB_VG2_M2Z_H_PSEUDO
10238
414k
    0U, // FSUB_VG2_M2Z_S_PSEUDO
10239
414k
    0U, // FSUB_VG4_M4Z_D_PSEUDO
10240
414k
    0U, // FSUB_VG4_M4Z_H_PSEUDO
10241
414k
    0U, // FSUB_VG4_M4Z_S_PSEUDO
10242
414k
    0U, // FSUB_ZPZI_D_UNDEF
10243
414k
    0U, // FSUB_ZPZI_D_ZERO
10244
414k
    0U, // FSUB_ZPZI_H_UNDEF
10245
414k
    0U, // FSUB_ZPZI_H_ZERO
10246
414k
    0U, // FSUB_ZPZI_S_UNDEF
10247
414k
    0U, // FSUB_ZPZI_S_ZERO
10248
414k
    0U, // FSUB_ZPZZ_D_UNDEF
10249
414k
    0U, // FSUB_ZPZZ_D_ZERO
10250
414k
    0U, // FSUB_ZPZZ_H_UNDEF
10251
414k
    0U, // FSUB_ZPZZ_H_ZERO
10252
414k
    0U, // FSUB_ZPZZ_S_UNDEF
10253
414k
    0U, // FSUB_ZPZZ_S_ZERO
10254
414k
    0U, // FVDOT_VG2_M2ZZI_HtoS_PSEUDO
10255
414k
    0U, // GLD1B_D
10256
414k
    0U, // GLD1B_D_IMM
10257
414k
    0U, // GLD1B_D_SXTW
10258
414k
    0U, // GLD1B_D_UXTW
10259
414k
    0U, // GLD1B_S_IMM
10260
414k
    0U, // GLD1B_S_SXTW
10261
414k
    0U, // GLD1B_S_UXTW
10262
414k
    0U, // GLD1D
10263
414k
    0U, // GLD1D_IMM
10264
414k
    0U, // GLD1D_SCALED
10265
414k
    0U, // GLD1D_SXTW
10266
414k
    0U, // GLD1D_SXTW_SCALED
10267
414k
    0U, // GLD1D_UXTW
10268
414k
    0U, // GLD1D_UXTW_SCALED
10269
414k
    0U, // GLD1H_D
10270
414k
    0U, // GLD1H_D_IMM
10271
414k
    0U, // GLD1H_D_SCALED
10272
414k
    0U, // GLD1H_D_SXTW
10273
414k
    0U, // GLD1H_D_SXTW_SCALED
10274
414k
    0U, // GLD1H_D_UXTW
10275
414k
    0U, // GLD1H_D_UXTW_SCALED
10276
414k
    0U, // GLD1H_S_IMM
10277
414k
    0U, // GLD1H_S_SXTW
10278
414k
    0U, // GLD1H_S_SXTW_SCALED
10279
414k
    0U, // GLD1H_S_UXTW
10280
414k
    0U, // GLD1H_S_UXTW_SCALED
10281
414k
    0U, // GLD1SB_D
10282
414k
    0U, // GLD1SB_D_IMM
10283
414k
    0U, // GLD1SB_D_SXTW
10284
414k
    0U, // GLD1SB_D_UXTW
10285
414k
    0U, // GLD1SB_S_IMM
10286
414k
    0U, // GLD1SB_S_SXTW
10287
414k
    0U, // GLD1SB_S_UXTW
10288
414k
    0U, // GLD1SH_D
10289
414k
    0U, // GLD1SH_D_IMM
10290
414k
    0U, // GLD1SH_D_SCALED
10291
414k
    0U, // GLD1SH_D_SXTW
10292
414k
    0U, // GLD1SH_D_SXTW_SCALED
10293
414k
    0U, // GLD1SH_D_UXTW
10294
414k
    0U, // GLD1SH_D_UXTW_SCALED
10295
414k
    0U, // GLD1SH_S_IMM
10296
414k
    0U, // GLD1SH_S_SXTW
10297
414k
    0U, // GLD1SH_S_SXTW_SCALED
10298
414k
    0U, // GLD1SH_S_UXTW
10299
414k
    0U, // GLD1SH_S_UXTW_SCALED
10300
414k
    0U, // GLD1SW_D
10301
414k
    0U, // GLD1SW_D_IMM
10302
414k
    0U, // GLD1SW_D_SCALED
10303
414k
    0U, // GLD1SW_D_SXTW
10304
414k
    0U, // GLD1SW_D_SXTW_SCALED
10305
414k
    0U, // GLD1SW_D_UXTW
10306
414k
    0U, // GLD1SW_D_UXTW_SCALED
10307
414k
    0U, // GLD1W_D
10308
414k
    0U, // GLD1W_D_IMM
10309
414k
    0U, // GLD1W_D_SCALED
10310
414k
    0U, // GLD1W_D_SXTW
10311
414k
    0U, // GLD1W_D_SXTW_SCALED
10312
414k
    0U, // GLD1W_D_UXTW
10313
414k
    0U, // GLD1W_D_UXTW_SCALED
10314
414k
    0U, // GLD1W_IMM
10315
414k
    0U, // GLD1W_SXTW
10316
414k
    0U, // GLD1W_SXTW_SCALED
10317
414k
    0U, // GLD1W_UXTW
10318
414k
    0U, // GLD1W_UXTW_SCALED
10319
414k
    0U, // GLDFF1B_D
10320
414k
    0U, // GLDFF1B_D_IMM
10321
414k
    0U, // GLDFF1B_D_SXTW
10322
414k
    0U, // GLDFF1B_D_UXTW
10323
414k
    0U, // GLDFF1B_S_IMM
10324
414k
    0U, // GLDFF1B_S_SXTW
10325
414k
    0U, // GLDFF1B_S_UXTW
10326
414k
    0U, // GLDFF1D
10327
414k
    0U, // GLDFF1D_IMM
10328
414k
    0U, // GLDFF1D_SCALED
10329
414k
    0U, // GLDFF1D_SXTW
10330
414k
    0U, // GLDFF1D_SXTW_SCALED
10331
414k
    0U, // GLDFF1D_UXTW
10332
414k
    0U, // GLDFF1D_UXTW_SCALED
10333
414k
    0U, // GLDFF1H_D
10334
414k
    0U, // GLDFF1H_D_IMM
10335
414k
    0U, // GLDFF1H_D_SCALED
10336
414k
    0U, // GLDFF1H_D_SXTW
10337
414k
    0U, // GLDFF1H_D_SXTW_SCALED
10338
414k
    0U, // GLDFF1H_D_UXTW
10339
414k
    0U, // GLDFF1H_D_UXTW_SCALED
10340
414k
    0U, // GLDFF1H_S_IMM
10341
414k
    0U, // GLDFF1H_S_SXTW
10342
414k
    0U, // GLDFF1H_S_SXTW_SCALED
10343
414k
    0U, // GLDFF1H_S_UXTW
10344
414k
    0U, // GLDFF1H_S_UXTW_SCALED
10345
414k
    0U, // GLDFF1SB_D
10346
414k
    0U, // GLDFF1SB_D_IMM
10347
414k
    0U, // GLDFF1SB_D_SXTW
10348
414k
    0U, // GLDFF1SB_D_UXTW
10349
414k
    0U, // GLDFF1SB_S_IMM
10350
414k
    0U, // GLDFF1SB_S_SXTW
10351
414k
    0U, // GLDFF1SB_S_UXTW
10352
414k
    0U, // GLDFF1SH_D
10353
414k
    0U, // GLDFF1SH_D_IMM
10354
414k
    0U, // GLDFF1SH_D_SCALED
10355
414k
    0U, // GLDFF1SH_D_SXTW
10356
414k
    0U, // GLDFF1SH_D_SXTW_SCALED
10357
414k
    0U, // GLDFF1SH_D_UXTW
10358
414k
    0U, // GLDFF1SH_D_UXTW_SCALED
10359
414k
    0U, // GLDFF1SH_S_IMM
10360
414k
    0U, // GLDFF1SH_S_SXTW
10361
414k
    0U, // GLDFF1SH_S_SXTW_SCALED
10362
414k
    0U, // GLDFF1SH_S_UXTW
10363
414k
    0U, // GLDFF1SH_S_UXTW_SCALED
10364
414k
    0U, // GLDFF1SW_D
10365
414k
    0U, // GLDFF1SW_D_IMM
10366
414k
    0U, // GLDFF1SW_D_SCALED
10367
414k
    0U, // GLDFF1SW_D_SXTW
10368
414k
    0U, // GLDFF1SW_D_SXTW_SCALED
10369
414k
    0U, // GLDFF1SW_D_UXTW
10370
414k
    0U, // GLDFF1SW_D_UXTW_SCALED
10371
414k
    0U, // GLDFF1W_D
10372
414k
    0U, // GLDFF1W_D_IMM
10373
414k
    0U, // GLDFF1W_D_SCALED
10374
414k
    0U, // GLDFF1W_D_SXTW
10375
414k
    0U, // GLDFF1W_D_SXTW_SCALED
10376
414k
    0U, // GLDFF1W_D_UXTW
10377
414k
    0U, // GLDFF1W_D_UXTW_SCALED
10378
414k
    0U, // GLDFF1W_IMM
10379
414k
    0U, // GLDFF1W_SXTW
10380
414k
    0U, // GLDFF1W_SXTW_SCALED
10381
414k
    0U, // GLDFF1W_UXTW
10382
414k
    0U, // GLDFF1W_UXTW_SCALED
10383
414k
    0U, // G_AARCH64_PREFETCH
10384
414k
    0U, // G_ADD_LOW
10385
414k
    0U, // G_BSP
10386
414k
    0U, // G_DUP
10387
414k
    0U, // G_DUPLANE16
10388
414k
    0U, // G_DUPLANE32
10389
414k
    0U, // G_DUPLANE64
10390
414k
    0U, // G_DUPLANE8
10391
414k
    0U, // G_EXT
10392
414k
    0U, // G_FCMEQ
10393
414k
    0U, // G_FCMEQZ
10394
414k
    0U, // G_FCMGE
10395
414k
    0U, // G_FCMGEZ
10396
414k
    0U, // G_FCMGT
10397
414k
    0U, // G_FCMGTZ
10398
414k
    0U, // G_FCMLEZ
10399
414k
    0U, // G_FCMLTZ
10400
414k
    0U, // G_REV16
10401
414k
    0U, // G_REV32
10402
414k
    0U, // G_REV64
10403
414k
    0U, // G_SADDLP
10404
414k
    0U, // G_SADDLV
10405
414k
    0U, // G_SDOT
10406
414k
    0U, // G_SITOF
10407
414k
    0U, // G_SMULL
10408
414k
    0U, // G_TRN1
10409
414k
    0U, // G_TRN2
10410
414k
    0U, // G_UADDLP
10411
414k
    0U, // G_UADDLV
10412
414k
    0U, // G_UDOT
10413
414k
    0U, // G_UITOF
10414
414k
    0U, // G_UMULL
10415
414k
    0U, // G_UZP1
10416
414k
    0U, // G_UZP2
10417
414k
    0U, // G_VASHR
10418
414k
    0U, // G_VLSHR
10419
414k
    0U, // G_ZIP1
10420
414k
    0U, // G_ZIP2
10421
414k
    0U, // HOM_Epilog
10422
414k
    0U, // HOM_Prolog
10423
414k
    0U, // HWASAN_CHECK_MEMACCESS
10424
414k
    0U, // HWASAN_CHECK_MEMACCESS_SHORTGRANULES
10425
414k
    0U, // INSERT_MXIPZ_H_PSEUDO_B
10426
414k
    0U, // INSERT_MXIPZ_H_PSEUDO_D
10427
414k
    0U, // INSERT_MXIPZ_H_PSEUDO_H
10428
414k
    0U, // INSERT_MXIPZ_H_PSEUDO_Q
10429
414k
    0U, // INSERT_MXIPZ_H_PSEUDO_S
10430
414k
    0U, // INSERT_MXIPZ_V_PSEUDO_B
10431
414k
    0U, // INSERT_MXIPZ_V_PSEUDO_D
10432
414k
    0U, // INSERT_MXIPZ_V_PSEUDO_H
10433
414k
    0U, // INSERT_MXIPZ_V_PSEUDO_Q
10434
414k
    0U, // INSERT_MXIPZ_V_PSEUDO_S
10435
414k
    0U, // IRGstack
10436
414k
    0U, // JumpTableDest16
10437
414k
    0U, // JumpTableDest32
10438
414k
    0U, // JumpTableDest8
10439
414k
    0U, // KCFI_CHECK
10440
414k
    0U, // LD1B_2Z_IMM_PSEUDO
10441
414k
    0U, // LD1B_2Z_PSEUDO
10442
414k
    0U, // LD1B_4Z_IMM_PSEUDO
10443
414k
    0U, // LD1B_4Z_PSEUDO
10444
414k
    0U, // LD1D_2Z_IMM_PSEUDO
10445
414k
    0U, // LD1D_2Z_PSEUDO
10446
414k
    0U, // LD1D_4Z_IMM_PSEUDO
10447
414k
    0U, // LD1D_4Z_PSEUDO
10448
414k
    0U, // LD1H_2Z_IMM_PSEUDO
10449
414k
    0U, // LD1H_2Z_PSEUDO
10450
414k
    0U, // LD1H_4Z_IMM_PSEUDO
10451
414k
    0U, // LD1H_4Z_PSEUDO
10452
414k
    0U, // LD1W_2Z_IMM_PSEUDO
10453
414k
    0U, // LD1W_2Z_PSEUDO
10454
414k
    0U, // LD1W_4Z_IMM_PSEUDO
10455
414k
    0U, // LD1W_4Z_PSEUDO
10456
414k
    0U, // LD1_MXIPXX_H_PSEUDO_B
10457
414k
    0U, // LD1_MXIPXX_H_PSEUDO_D
10458
414k
    0U, // LD1_MXIPXX_H_PSEUDO_H
10459
414k
    0U, // LD1_MXIPXX_H_PSEUDO_Q
10460
414k
    0U, // LD1_MXIPXX_H_PSEUDO_S
10461
414k
    0U, // LD1_MXIPXX_V_PSEUDO_B
10462
414k
    0U, // LD1_MXIPXX_V_PSEUDO_D
10463
414k
    0U, // LD1_MXIPXX_V_PSEUDO_H
10464
414k
    0U, // LD1_MXIPXX_V_PSEUDO_Q
10465
414k
    0U, // LD1_MXIPXX_V_PSEUDO_S
10466
414k
    0U, // LDFF1B
10467
414k
    0U, // LDFF1B_D
10468
414k
    0U, // LDFF1B_H
10469
414k
    0U, // LDFF1B_S
10470
414k
    0U, // LDFF1D
10471
414k
    0U, // LDFF1H
10472
414k
    0U, // LDFF1H_D
10473
414k
    0U, // LDFF1H_S
10474
414k
    0U, // LDFF1SB_D
10475
414k
    0U, // LDFF1SB_H
10476
414k
    0U, // LDFF1SB_S
10477
414k
    0U, // LDFF1SH_D
10478
414k
    0U, // LDFF1SH_S
10479
414k
    0U, // LDFF1SW_D
10480
414k
    0U, // LDFF1W
10481
414k
    0U, // LDFF1W_D
10482
414k
    0U, // LDNF1B_D_IMM
10483
414k
    0U, // LDNF1B_H_IMM
10484
414k
    0U, // LDNF1B_IMM
10485
414k
    0U, // LDNF1B_S_IMM
10486
414k
    0U, // LDNF1D_IMM
10487
414k
    0U, // LDNF1H_D_IMM
10488
414k
    0U, // LDNF1H_IMM
10489
414k
    0U, // LDNF1H_S_IMM
10490
414k
    0U, // LDNF1SB_D_IMM
10491
414k
    0U, // LDNF1SB_H_IMM
10492
414k
    0U, // LDNF1SB_S_IMM
10493
414k
    0U, // LDNF1SH_D_IMM
10494
414k
    0U, // LDNF1SH_S_IMM
10495
414k
    0U, // LDNF1SW_D_IMM
10496
414k
    0U, // LDNF1W_D_IMM
10497
414k
    0U, // LDNF1W_IMM
10498
414k
    0U, // LDNT1B_2Z_IMM_PSEUDO
10499
414k
    0U, // LDNT1B_2Z_PSEUDO
10500
414k
    0U, // LDNT1B_4Z_IMM_PSEUDO
10501
414k
    0U, // LDNT1B_4Z_PSEUDO
10502
414k
    0U, // LDNT1D_2Z_IMM_PSEUDO
10503
414k
    0U, // LDNT1D_2Z_PSEUDO
10504
414k
    0U, // LDNT1D_4Z_IMM_PSEUDO
10505
414k
    0U, // LDNT1D_4Z_PSEUDO
10506
414k
    0U, // LDNT1H_2Z_IMM_PSEUDO
10507
414k
    0U, // LDNT1H_2Z_PSEUDO
10508
414k
    0U, // LDNT1H_4Z_IMM_PSEUDO
10509
414k
    0U, // LDNT1H_4Z_PSEUDO
10510
414k
    0U, // LDNT1W_2Z_IMM_PSEUDO
10511
414k
    0U, // LDNT1W_2Z_PSEUDO
10512
414k
    0U, // LDNT1W_4Z_IMM_PSEUDO
10513
414k
    0U, // LDNT1W_4Z_PSEUDO
10514
414k
    0U, // LDR_PPXI
10515
414k
    0U, // LDR_TX_PSEUDO
10516
414k
    0U, // LDR_ZA_PSEUDO
10517
414k
    0U, // LDR_ZZXI
10518
414k
    0U, // LDR_ZZZXI
10519
414k
    0U, // LDR_ZZZZXI
10520
414k
    0U, // LOADgot
10521
414k
    0U, // LSL_ZPZI_B_UNDEF
10522
414k
    0U, // LSL_ZPZI_B_ZERO
10523
414k
    0U, // LSL_ZPZI_D_UNDEF
10524
414k
    0U, // LSL_ZPZI_D_ZERO
10525
414k
    0U, // LSL_ZPZI_H_UNDEF
10526
414k
    0U, // LSL_ZPZI_H_ZERO
10527
414k
    0U, // LSL_ZPZI_S_UNDEF
10528
414k
    0U, // LSL_ZPZI_S_ZERO
10529
414k
    0U, // LSL_ZPZZ_B_UNDEF
10530
414k
    0U, // LSL_ZPZZ_B_ZERO
10531
414k
    0U, // LSL_ZPZZ_D_UNDEF
10532
414k
    0U, // LSL_ZPZZ_D_ZERO
10533
414k
    0U, // LSL_ZPZZ_H_UNDEF
10534
414k
    0U, // LSL_ZPZZ_H_ZERO
10535
414k
    0U, // LSL_ZPZZ_S_UNDEF
10536
414k
    0U, // LSL_ZPZZ_S_ZERO
10537
414k
    0U, // LSR_ZPZI_B_UNDEF
10538
414k
    0U, // LSR_ZPZI_B_ZERO
10539
414k
    0U, // LSR_ZPZI_D_UNDEF
10540
414k
    0U, // LSR_ZPZI_D_ZERO
10541
414k
    0U, // LSR_ZPZI_H_UNDEF
10542
414k
    0U, // LSR_ZPZI_H_ZERO
10543
414k
    0U, // LSR_ZPZI_S_UNDEF
10544
414k
    0U, // LSR_ZPZI_S_ZERO
10545
414k
    0U, // LSR_ZPZZ_B_UNDEF
10546
414k
    0U, // LSR_ZPZZ_B_ZERO
10547
414k
    0U, // LSR_ZPZZ_D_UNDEF
10548
414k
    0U, // LSR_ZPZZ_D_ZERO
10549
414k
    0U, // LSR_ZPZZ_H_UNDEF
10550
414k
    0U, // LSR_ZPZZ_H_ZERO
10551
414k
    0U, // LSR_ZPZZ_S_UNDEF
10552
414k
    0U, // LSR_ZPZZ_S_ZERO
10553
414k
    0U, // MLA_ZPZZZ_B_UNDEF
10554
414k
    0U, // MLA_ZPZZZ_D_UNDEF
10555
414k
    0U, // MLA_ZPZZZ_H_UNDEF
10556
414k
    0U, // MLA_ZPZZZ_S_UNDEF
10557
414k
    0U, // MLS_ZPZZZ_B_UNDEF
10558
414k
    0U, // MLS_ZPZZZ_D_UNDEF
10559
414k
    0U, // MLS_ZPZZZ_H_UNDEF
10560
414k
    0U, // MLS_ZPZZZ_S_UNDEF
10561
414k
    0U, // MOPSMemoryCopyPseudo
10562
414k
    0U, // MOPSMemoryMovePseudo
10563
414k
    0U, // MOPSMemorySetPseudo
10564
414k
    0U, // MOPSMemorySetTaggingPseudo
10565
414k
    0U, // MOVA_MXI2Z_H_B_PSEUDO
10566
414k
    0U, // MOVA_MXI2Z_H_D_PSEUDO
10567
414k
    0U, // MOVA_MXI2Z_H_H_PSEUDO
10568
414k
    0U, // MOVA_MXI2Z_H_S_PSEUDO
10569
414k
    0U, // MOVA_MXI2Z_V_B_PSEUDO
10570
414k
    0U, // MOVA_MXI2Z_V_D_PSEUDO
10571
414k
    0U, // MOVA_MXI2Z_V_H_PSEUDO
10572
414k
    0U, // MOVA_MXI2Z_V_S_PSEUDO
10573
414k
    0U, // MOVA_MXI4Z_H_B_PSEUDO
10574
414k
    0U, // MOVA_MXI4Z_H_D_PSEUDO
10575
414k
    0U, // MOVA_MXI4Z_H_H_PSEUDO
10576
414k
    0U, // MOVA_MXI4Z_H_S_PSEUDO
10577
414k
    0U, // MOVA_MXI4Z_V_B_PSEUDO
10578
414k
    0U, // MOVA_MXI4Z_V_D_PSEUDO
10579
414k
    0U, // MOVA_MXI4Z_V_H_PSEUDO
10580
414k
    0U, // MOVA_MXI4Z_V_S_PSEUDO
10581
414k
    0U, // MOVA_VG2_MXI2Z_PSEUDO
10582
414k
    0U, // MOVA_VG4_MXI4Z_PSEUDO
10583
414k
    0U, // MOVMCSym
10584
414k
    0U, // MOVaddr
10585
414k
    0U, // MOVaddrBA
10586
414k
    0U, // MOVaddrCP
10587
414k
    0U, // MOVaddrEXT
10588
414k
    0U, // MOVaddrJT
10589
414k
    0U, // MOVaddrTLS
10590
414k
    0U, // MOVbaseTLS
10591
414k
    0U, // MOVi32imm
10592
414k
    0U, // MOVi64imm
10593
414k
    0U, // MRS_FPCR
10594
414k
    0U, // MSR_FPCR
10595
414k
    0U, // MSRpstatePseudo
10596
414k
    0U, // MUL_ZPZZ_B_UNDEF
10597
414k
    0U, // MUL_ZPZZ_D_UNDEF
10598
414k
    0U, // MUL_ZPZZ_H_UNDEF
10599
414k
    0U, // MUL_ZPZZ_S_UNDEF
10600
414k
    0U, // NEG_ZPmZ_B_UNDEF
10601
414k
    0U, // NEG_ZPmZ_D_UNDEF
10602
414k
    0U, // NEG_ZPmZ_H_UNDEF
10603
414k
    0U, // NEG_ZPmZ_S_UNDEF
10604
414k
    0U, // NOT_ZPmZ_B_UNDEF
10605
414k
    0U, // NOT_ZPmZ_D_UNDEF
10606
414k
    0U, // NOT_ZPmZ_H_UNDEF
10607
414k
    0U, // NOT_ZPmZ_S_UNDEF
10608
414k
    0U, // ORNWrr
10609
414k
    0U, // ORNXrr
10610
414k
    0U, // ORRWrr
10611
414k
    0U, // ORRXrr
10612
414k
    0U, // ORR_ZPZZ_B_ZERO
10613
414k
    0U, // ORR_ZPZZ_D_ZERO
10614
414k
    0U, // ORR_ZPZZ_H_ZERO
10615
414k
    0U, // ORR_ZPZZ_S_ZERO
10616
414k
    0U, // PAUTH_EPILOGUE
10617
414k
    0U, // PAUTH_PROLOGUE
10618
414k
    0U, // PROBED_STACKALLOC
10619
414k
    0U, // PROBED_STACKALLOC_DYN
10620
414k
    0U, // PROBED_STACKALLOC_VAR
10621
414k
    0U, // PTEST_PP_ANY
10622
414k
    0U, // RDFFR_P
10623
414k
    0U, // RDFFR_PPz
10624
414k
    0U, // RET_ReallyLR
10625
414k
    0U, // RestoreZAPseudo
10626
414k
    0U, // SABD_ZPZZ_B_UNDEF
10627
414k
    0U, // SABD_ZPZZ_D_UNDEF
10628
414k
    0U, // SABD_ZPZZ_H_UNDEF
10629
414k
    0U, // SABD_ZPZZ_S_UNDEF
10630
414k
    0U, // SCVTF_ZPmZ_DtoD_UNDEF
10631
414k
    0U, // SCVTF_ZPmZ_DtoH_UNDEF
10632
414k
    0U, // SCVTF_ZPmZ_DtoS_UNDEF
10633
414k
    0U, // SCVTF_ZPmZ_HtoH_UNDEF
10634
414k
    0U, // SCVTF_ZPmZ_StoD_UNDEF
10635
414k
    0U, // SCVTF_ZPmZ_StoH_UNDEF
10636
414k
    0U, // SCVTF_ZPmZ_StoS_UNDEF
10637
414k
    0U, // SDIV_ZPZZ_D_UNDEF
10638
414k
    0U, // SDIV_ZPZZ_S_UNDEF
10639
414k
    0U, // SDOT_VG2_M2Z2Z_BtoS_PSEUDO
10640
414k
    0U, // SDOT_VG2_M2Z2Z_HtoD_PSEUDO
10641
414k
    0U, // SDOT_VG2_M2Z2Z_HtoS_PSEUDO
10642
414k
    0U, // SDOT_VG2_M2ZZI_BToS_PSEUDO
10643
414k
    0U, // SDOT_VG2_M2ZZI_HToS_PSEUDO
10644
414k
    0U, // SDOT_VG2_M2ZZI_HtoD_PSEUDO
10645
414k
    0U, // SDOT_VG2_M2ZZ_BtoS_PSEUDO
10646
414k
    0U, // SDOT_VG2_M2ZZ_HtoD_PSEUDO
10647
414k
    0U, // SDOT_VG2_M2ZZ_HtoS_PSEUDO
10648
414k
    0U, // SDOT_VG4_M4Z4Z_BtoS_PSEUDO
10649
414k
    0U, // SDOT_VG4_M4Z4Z_HtoD_PSEUDO
10650
414k
    0U, // SDOT_VG4_M4Z4Z_HtoS_PSEUDO
10651
414k
    0U, // SDOT_VG4_M4ZZI_BToS_PSEUDO
10652
414k
    0U, // SDOT_VG4_M4ZZI_HToS_PSEUDO
10653
414k
    0U, // SDOT_VG4_M4ZZI_HtoD_PSEUDO
10654
414k
    0U, // SDOT_VG4_M4ZZ_BtoS_PSEUDO
10655
414k
    0U, // SDOT_VG4_M4ZZ_HtoD_PSEUDO
10656
414k
    0U, // SDOT_VG4_M4ZZ_HtoS_PSEUDO
10657
414k
    0U, // SEH_AddFP
10658
414k
    0U, // SEH_EpilogEnd
10659
414k
    0U, // SEH_EpilogStart
10660
414k
    0U, // SEH_Nop
10661
414k
    0U, // SEH_PACSignLR
10662
414k
    0U, // SEH_PrologEnd
10663
414k
    0U, // SEH_SaveAnyRegQP
10664
414k
    0U, // SEH_SaveAnyRegQPX
10665
414k
    0U, // SEH_SaveFPLR
10666
414k
    0U, // SEH_SaveFPLR_X
10667
414k
    0U, // SEH_SaveFReg
10668
414k
    0U, // SEH_SaveFRegP
10669
414k
    0U, // SEH_SaveFRegP_X
10670
414k
    0U, // SEH_SaveFReg_X
10671
414k
    0U, // SEH_SaveReg
10672
414k
    0U, // SEH_SaveRegP
10673
414k
    0U, // SEH_SaveRegP_X
10674
414k
    0U, // SEH_SaveReg_X
10675
414k
    0U, // SEH_SetFP
10676
414k
    0U, // SEH_StackAlloc
10677
414k
    0U, // SMAX_ZPZZ_B_UNDEF
10678
414k
    0U, // SMAX_ZPZZ_D_UNDEF
10679
414k
    0U, // SMAX_ZPZZ_H_UNDEF
10680
414k
    0U, // SMAX_ZPZZ_S_UNDEF
10681
414k
    0U, // SMIN_ZPZZ_B_UNDEF
10682
414k
    0U, // SMIN_ZPZZ_D_UNDEF
10683
414k
    0U, // SMIN_ZPZZ_H_UNDEF
10684
414k
    0U, // SMIN_ZPZZ_S_UNDEF
10685
414k
    0U, // SMLALL_MZZI_BtoS_PSEUDO
10686
414k
    0U, // SMLALL_MZZI_HtoD_PSEUDO
10687
414k
    0U, // SMLALL_MZZ_BtoS_PSEUDO
10688
414k
    0U, // SMLALL_MZZ_HtoD_PSEUDO
10689
414k
    0U, // SMLALL_VG2_M2Z2Z_BtoS_PSEUDO
10690
414k
    0U, // SMLALL_VG2_M2Z2Z_HtoD_PSEUDO
10691
414k
    0U, // SMLALL_VG2_M2ZZI_BtoS_PSEUDO
10692
414k
    0U, // SMLALL_VG2_M2ZZI_HtoD_PSEUDO
10693
414k
    0U, // SMLALL_VG2_M2ZZ_BtoS_PSEUDO
10694
414k
    0U, // SMLALL_VG2_M2ZZ_HtoD_PSEUDO
10695
414k
    0U, // SMLALL_VG4_M4Z4Z_BtoS_PSEUDO
10696
414k
    0U, // SMLALL_VG4_M4Z4Z_HtoD_PSEUDO
10697
414k
    0U, // SMLALL_VG4_M4ZZI_BtoS_PSEUDO
10698
414k
    0U, // SMLALL_VG4_M4ZZI_HtoD_PSEUDO
10699
414k
    0U, // SMLALL_VG4_M4ZZ_BtoS_PSEUDO
10700
414k
    0U, // SMLALL_VG4_M4ZZ_HtoD_PSEUDO
10701
414k
    0U, // SMLAL_MZZI_HtoS_PSEUDO
10702
414k
    0U, // SMLAL_MZZ_HtoS_PSEUDO
10703
414k
    0U, // SMLAL_VG2_M2Z2Z_HtoS_PSEUDO
10704
414k
    0U, // SMLAL_VG2_M2ZZI_S_PSEUDO
10705
414k
    0U, // SMLAL_VG2_M2ZZ_HtoS_PSEUDO
10706
414k
    0U, // SMLAL_VG4_M4Z4Z_HtoS_PSEUDO
10707
414k
    0U, // SMLAL_VG4_M4ZZI_HtoS_PSEUDO
10708
414k
    0U, // SMLAL_VG4_M4ZZ_HtoS_PSEUDO
10709
414k
    0U, // SMLSLL_MZZI_BtoS_PSEUDO
10710
414k
    0U, // SMLSLL_MZZI_HtoD_PSEUDO
10711
414k
    0U, // SMLSLL_MZZ_BtoS_PSEUDO
10712
414k
    0U, // SMLSLL_MZZ_HtoD_PSEUDO
10713
414k
    0U, // SMLSLL_VG2_M2Z2Z_BtoS_PSEUDO
10714
414k
    0U, // SMLSLL_VG2_M2Z2Z_HtoD_PSEUDO
10715
414k
    0U, // SMLSLL_VG2_M2ZZI_BtoS_PSEUDO
10716
414k
    0U, // SMLSLL_VG2_M2ZZI_HtoD_PSEUDO
10717
414k
    0U, // SMLSLL_VG2_M2ZZ_BtoS_PSEUDO
10718
414k
    0U, // SMLSLL_VG2_M2ZZ_HtoD_PSEUDO
10719
414k
    0U, // SMLSLL_VG4_M4Z4Z_BtoS_PSEUDO
10720
414k
    0U, // SMLSLL_VG4_M4Z4Z_HtoD_PSEUDO
10721
414k
    0U, // SMLSLL_VG4_M4ZZI_BtoS_PSEUDO
10722
414k
    0U, // SMLSLL_VG4_M4ZZI_HtoD_PSEUDO
10723
414k
    0U, // SMLSLL_VG4_M4ZZ_BtoS_PSEUDO
10724
414k
    0U, // SMLSLL_VG4_M4ZZ_HtoD_PSEUDO
10725
414k
    0U, // SMLSL_MZZI_HtoS_PSEUDO
10726
414k
    0U, // SMLSL_MZZ_HtoS_PSEUDO
10727
414k
    0U, // SMLSL_VG2_M2Z2Z_HtoS_PSEUDO
10728
414k
    0U, // SMLSL_VG2_M2ZZI_S_PSEUDO
10729
414k
    0U, // SMLSL_VG2_M2ZZ_HtoS_PSEUDO
10730
414k
    0U, // SMLSL_VG4_M4Z4Z_HtoS_PSEUDO
10731
414k
    0U, // SMLSL_VG4_M4ZZI_HtoS_PSEUDO
10732
414k
    0U, // SMLSL_VG4_M4ZZ_HtoS_PSEUDO
10733
414k
    0U, // SMOPA_MPPZZ_D_PSEUDO
10734
414k
    0U, // SMOPA_MPPZZ_HtoS_PSEUDO
10735
414k
    0U, // SMOPA_MPPZZ_S_PSEUDO
10736
414k
    0U, // SMOPS_MPPZZ_D_PSEUDO
10737
414k
    0U, // SMOPS_MPPZZ_HtoS_PSEUDO
10738
414k
    0U, // SMOPS_MPPZZ_S_PSEUDO
10739
414k
    0U, // SMULH_ZPZZ_B_UNDEF
10740
414k
    0U, // SMULH_ZPZZ_D_UNDEF
10741
414k
    0U, // SMULH_ZPZZ_H_UNDEF
10742
414k
    0U, // SMULH_ZPZZ_S_UNDEF
10743
414k
    0U, // SPACE
10744
414k
    0U, // SQABS_ZPmZ_B_UNDEF
10745
414k
    0U, // SQABS_ZPmZ_D_UNDEF
10746
414k
    0U, // SQABS_ZPmZ_H_UNDEF
10747
414k
    0U, // SQABS_ZPmZ_S_UNDEF
10748
414k
    0U, // SQNEG_ZPmZ_B_UNDEF
10749
414k
    0U, // SQNEG_ZPmZ_D_UNDEF
10750
414k
    0U, // SQNEG_ZPmZ_H_UNDEF
10751
414k
    0U, // SQNEG_ZPmZ_S_UNDEF
10752
414k
    0U, // SQRSHL_ZPZZ_B_UNDEF
10753
414k
    0U, // SQRSHL_ZPZZ_D_UNDEF
10754
414k
    0U, // SQRSHL_ZPZZ_H_UNDEF
10755
414k
    0U, // SQRSHL_ZPZZ_S_UNDEF
10756
414k
    0U, // SQSHLU_ZPZI_B_ZERO
10757
414k
    0U, // SQSHLU_ZPZI_D_ZERO
10758
414k
    0U, // SQSHLU_ZPZI_H_ZERO
10759
414k
    0U, // SQSHLU_ZPZI_S_ZERO
10760
414k
    0U, // SQSHL_ZPZI_B_ZERO
10761
414k
    0U, // SQSHL_ZPZI_D_ZERO
10762
414k
    0U, // SQSHL_ZPZI_H_ZERO
10763
414k
    0U, // SQSHL_ZPZI_S_ZERO
10764
414k
    0U, // SQSHL_ZPZZ_B_UNDEF
10765
414k
    0U, // SQSHL_ZPZZ_D_UNDEF
10766
414k
    0U, // SQSHL_ZPZZ_H_UNDEF
10767
414k
    0U, // SQSHL_ZPZZ_S_UNDEF
10768
414k
    0U, // SRSHL_ZPZZ_B_UNDEF
10769
414k
    0U, // SRSHL_ZPZZ_D_UNDEF
10770
414k
    0U, // SRSHL_ZPZZ_H_UNDEF
10771
414k
    0U, // SRSHL_ZPZZ_S_UNDEF
10772
414k
    0U, // SRSHR_ZPZI_B_ZERO
10773
414k
    0U, // SRSHR_ZPZI_D_ZERO
10774
414k
    0U, // SRSHR_ZPZI_H_ZERO
10775
414k
    0U, // SRSHR_ZPZI_S_ZERO
10776
414k
    0U, // STGloop
10777
414k
    0U, // STGloop_wback
10778
414k
    0U, // STR_PPXI
10779
414k
    0U, // STR_TX_PSEUDO
10780
414k
    0U, // STR_ZZXI
10781
414k
    0U, // STR_ZZZXI
10782
414k
    0U, // STR_ZZZZXI
10783
414k
    0U, // STZGloop
10784
414k
    0U, // STZGloop_wback
10785
414k
    0U, // SUBR_ZPZZ_B_ZERO
10786
414k
    0U, // SUBR_ZPZZ_D_ZERO
10787
414k
    0U, // SUBR_ZPZZ_H_ZERO
10788
414k
    0U, // SUBR_ZPZZ_S_ZERO
10789
414k
    0U, // SUBSWrr
10790
414k
    0U, // SUBSXrr
10791
414k
    0U, // SUBWrr
10792
414k
    0U, // SUBXrr
10793
414k
    0U, // SUB_VG2_M2Z2Z_D_PSEUDO
10794
414k
    0U, // SUB_VG2_M2Z2Z_S_PSEUDO
10795
414k
    0U, // SUB_VG2_M2ZZ_D_PSEUDO
10796
414k
    0U, // SUB_VG2_M2ZZ_S_PSEUDO
10797
414k
    0U, // SUB_VG2_M2Z_D_PSEUDO
10798
414k
    0U, // SUB_VG2_M2Z_S_PSEUDO
10799
414k
    0U, // SUB_VG4_M4Z4Z_D_PSEUDO
10800
414k
    0U, // SUB_VG4_M4Z4Z_S_PSEUDO
10801
414k
    0U, // SUB_VG4_M4ZZ_D_PSEUDO
10802
414k
    0U, // SUB_VG4_M4ZZ_S_PSEUDO
10803
414k
    0U, // SUB_VG4_M4Z_D_PSEUDO
10804
414k
    0U, // SUB_VG4_M4Z_S_PSEUDO
10805
414k
    0U, // SUB_ZPZZ_B_ZERO
10806
414k
    0U, // SUB_ZPZZ_D_ZERO
10807
414k
    0U, // SUB_ZPZZ_H_ZERO
10808
414k
    0U, // SUB_ZPZZ_S_ZERO
10809
414k
    0U, // SUDOT_VG2_M2ZZI_BToS_PSEUDO
10810
414k
    0U, // SUDOT_VG2_M2ZZ_BToS_PSEUDO
10811
414k
    0U, // SUDOT_VG4_M4ZZI_BToS_PSEUDO
10812
414k
    0U, // SUDOT_VG4_M4ZZ_BToS_PSEUDO
10813
414k
    0U, // SUMLALL_MZZI_BtoS_PSEUDO
10814
414k
    0U, // SUMLALL_VG2_M2ZZI_BtoS_PSEUDO
10815
414k
    0U, // SUMLALL_VG2_M2ZZ_BtoS_PSEUDO
10816
414k
    0U, // SUMLALL_VG4_M4ZZI_BtoS_PSEUDO
10817
414k
    0U, // SUMLALL_VG4_M4ZZ_BtoS_PSEUDO
10818
414k
    0U, // SUMOPA_MPPZZ_D_PSEUDO
10819
414k
    0U, // SUMOPA_MPPZZ_S_PSEUDO
10820
414k
    0U, // SUMOPS_MPPZZ_D_PSEUDO
10821
414k
    0U, // SUMOPS_MPPZZ_S_PSEUDO
10822
414k
    0U, // SUVDOT_VG4_M4ZZI_BToS_PSEUDO
10823
414k
    0U, // SVDOT_VG2_M2ZZI_HtoS_PSEUDO
10824
414k
    0U, // SVDOT_VG4_M4ZZI_BtoS_PSEUDO
10825
414k
    0U, // SVDOT_VG4_M4ZZI_HtoD_PSEUDO
10826
414k
    0U, // SXTB_ZPmZ_D_UNDEF
10827
414k
    0U, // SXTB_ZPmZ_H_UNDEF
10828
414k
    0U, // SXTB_ZPmZ_S_UNDEF
10829
414k
    0U, // SXTH_ZPmZ_D_UNDEF
10830
414k
    0U, // SXTH_ZPmZ_S_UNDEF
10831
414k
    0U, // SXTW_ZPmZ_D_UNDEF
10832
414k
    0U, // SpeculationBarrierISBDSBEndBB
10833
414k
    0U, // SpeculationBarrierSBEndBB
10834
414k
    0U, // SpeculationSafeValueW
10835
414k
    0U, // SpeculationSafeValueX
10836
414k
    0U, // StoreSwiftAsyncContext
10837
414k
    0U, // TAGPstack
10838
414k
    0U, // TCRETURNdi
10839
414k
    0U, // TCRETURNri
10840
414k
    0U, // TCRETURNriALL
10841
414k
    0U, // TCRETURNriBTI
10842
414k
    0U, // TLSDESCCALL
10843
414k
    0U, // TLSDESC_CALLSEQ
10844
414k
    0U, // UABD_ZPZZ_B_UNDEF
10845
414k
    0U, // UABD_ZPZZ_D_UNDEF
10846
414k
    0U, // UABD_ZPZZ_H_UNDEF
10847
414k
    0U, // UABD_ZPZZ_S_UNDEF
10848
414k
    0U, // UCVTF_ZPmZ_DtoD_UNDEF
10849
414k
    0U, // UCVTF_ZPmZ_DtoH_UNDEF
10850
414k
    0U, // UCVTF_ZPmZ_DtoS_UNDEF
10851
414k
    0U, // UCVTF_ZPmZ_HtoH_UNDEF
10852
414k
    0U, // UCVTF_ZPmZ_StoD_UNDEF
10853
414k
    0U, // UCVTF_ZPmZ_StoH_UNDEF
10854
414k
    0U, // UCVTF_ZPmZ_StoS_UNDEF
10855
414k
    0U, // UDIV_ZPZZ_D_UNDEF
10856
414k
    0U, // UDIV_ZPZZ_S_UNDEF
10857
414k
    0U, // UDOT_VG2_M2Z2Z_BtoS_PSEUDO
10858
414k
    0U, // UDOT_VG2_M2Z2Z_HtoD_PSEUDO
10859
414k
    0U, // UDOT_VG2_M2Z2Z_HtoS_PSEUDO
10860
414k
    0U, // UDOT_VG2_M2ZZI_BToS_PSEUDO
10861
414k
    0U, // UDOT_VG2_M2ZZI_HToS_PSEUDO
10862
414k
    0U, // UDOT_VG2_M2ZZI_HtoD_PSEUDO
10863
414k
    0U, // UDOT_VG2_M2ZZ_BtoS_PSEUDO
10864
414k
    0U, // UDOT_VG2_M2ZZ_HtoD_PSEUDO
10865
414k
    0U, // UDOT_VG2_M2ZZ_HtoS_PSEUDO
10866
414k
    0U, // UDOT_VG4_M4Z4Z_BtoS_PSEUDO
10867
414k
    0U, // UDOT_VG4_M4Z4Z_HtoD_PSEUDO
10868
414k
    0U, // UDOT_VG4_M4Z4Z_HtoS_PSEUDO
10869
414k
    0U, // UDOT_VG4_M4ZZI_BtoS_PSEUDO
10870
414k
    0U, // UDOT_VG4_M4ZZI_HToS_PSEUDO
10871
414k
    0U, // UDOT_VG4_M4ZZI_HtoD_PSEUDO
10872
414k
    0U, // UDOT_VG4_M4ZZ_BtoS_PSEUDO
10873
414k
    0U, // UDOT_VG4_M4ZZ_HtoD_PSEUDO
10874
414k
    0U, // UDOT_VG4_M4ZZ_HtoS_PSEUDO
10875
414k
    0U, // UMAX_ZPZZ_B_UNDEF
10876
414k
    0U, // UMAX_ZPZZ_D_UNDEF
10877
414k
    0U, // UMAX_ZPZZ_H_UNDEF
10878
414k
    0U, // UMAX_ZPZZ_S_UNDEF
10879
414k
    0U, // UMIN_ZPZZ_B_UNDEF
10880
414k
    0U, // UMIN_ZPZZ_D_UNDEF
10881
414k
    0U, // UMIN_ZPZZ_H_UNDEF
10882
414k
    0U, // UMIN_ZPZZ_S_UNDEF
10883
414k
    0U, // UMLALL_MZZI_BtoS_PSEUDO
10884
414k
    0U, // UMLALL_MZZI_HtoD_PSEUDO
10885
414k
    0U, // UMLALL_MZZ_BtoS_PSEUDO
10886
414k
    0U, // UMLALL_MZZ_HtoD_PSEUDO
10887
414k
    0U, // UMLALL_VG2_M2Z2Z_BtoS_PSEUDO
10888
414k
    0U, // UMLALL_VG2_M2Z2Z_HtoD_PSEUDO
10889
414k
    0U, // UMLALL_VG2_M2ZZI_BtoS_PSEUDO
10890
414k
    0U, // UMLALL_VG2_M2ZZI_HtoD_PSEUDO
10891
414k
    0U, // UMLALL_VG2_M2ZZ_BtoS_PSEUDO
10892
414k
    0U, // UMLALL_VG2_M2ZZ_HtoD_PSEUDO
10893
414k
    0U, // UMLALL_VG4_M4Z4Z_BtoS_PSEUDO
10894
414k
    0U, // UMLALL_VG4_M4Z4Z_HtoD_PSEUDO
10895
414k
    0U, // UMLALL_VG4_M4ZZI_BtoS_PSEUDO
10896
414k
    0U, // UMLALL_VG4_M4ZZI_HtoD_PSEUDO
10897
414k
    0U, // UMLALL_VG4_M4ZZ_BtoS_PSEUDO
10898
414k
    0U, // UMLALL_VG4_M4ZZ_HtoD_PSEUDO
10899
414k
    0U, // UMLAL_MZZI_HtoS_PSEUDO
10900
414k
    0U, // UMLAL_MZZ_HtoS_PSEUDO
10901
414k
    0U, // UMLAL_VG2_M2Z2Z_HtoS_PSEUDO
10902
414k
    0U, // UMLAL_VG2_M2ZZI_S_PSEUDO
10903
414k
    0U, // UMLAL_VG2_M2ZZ_HtoS_PSEUDO
10904
414k
    0U, // UMLAL_VG4_M4Z4Z_HtoS_PSEUDO
10905
414k
    0U, // UMLAL_VG4_M4ZZI_HtoS_PSEUDO
10906
414k
    0U, // UMLAL_VG4_M4ZZ_HtoS_PSEUDO
10907
414k
    0U, // UMLSLL_MZZI_BtoS_PSEUDO
10908
414k
    0U, // UMLSLL_MZZI_HtoD_PSEUDO
10909
414k
    0U, // UMLSLL_MZZ_BtoS_PSEUDO
10910
414k
    0U, // UMLSLL_MZZ_HtoD_PSEUDO
10911
414k
    0U, // UMLSLL_VG2_M2Z2Z_BtoS_PSEUDO
10912
414k
    0U, // UMLSLL_VG2_M2Z2Z_HtoD_PSEUDO
10913
414k
    0U, // UMLSLL_VG2_M2ZZI_BtoS_PSEUDO
10914
414k
    0U, // UMLSLL_VG2_M2ZZI_HtoD_PSEUDO
10915
414k
    0U, // UMLSLL_VG2_M2ZZ_BtoS_PSEUDO
10916
414k
    0U, // UMLSLL_VG2_M2ZZ_HtoD_PSEUDO
10917
414k
    0U, // UMLSLL_VG4_M4Z4Z_BtoS_PSEUDO
10918
414k
    0U, // UMLSLL_VG4_M4Z4Z_HtoD_PSEUDO
10919
414k
    0U, // UMLSLL_VG4_M4ZZI_BtoS_PSEUDO
10920
414k
    0U, // UMLSLL_VG4_M4ZZI_HtoD_PSEUDO
10921
414k
    0U, // UMLSLL_VG4_M4ZZ_BtoS_PSEUDO
10922
414k
    0U, // UMLSLL_VG4_M4ZZ_HtoD_PSEUDO
10923
414k
    0U, // UMLSL_MZZI_HtoS_PSEUDO
10924
414k
    0U, // UMLSL_MZZ_HtoS_PSEUDO
10925
414k
    0U, // UMLSL_VG2_M2Z2Z_HtoS_PSEUDO
10926
414k
    0U, // UMLSL_VG2_M2ZZI_S_PSEUDO
10927
414k
    0U, // UMLSL_VG2_M2ZZ_HtoS_PSEUDO
10928
414k
    0U, // UMLSL_VG4_M4Z4Z_HtoS_PSEUDO
10929
414k
    0U, // UMLSL_VG4_M4ZZI_HtoS_PSEUDO
10930
414k
    0U, // UMLSL_VG4_M4ZZ_HtoS_PSEUDO
10931
414k
    0U, // UMOPA_MPPZZ_D_PSEUDO
10932
414k
    0U, // UMOPA_MPPZZ_HtoS_PSEUDO
10933
414k
    0U, // UMOPA_MPPZZ_S_PSEUDO
10934
414k
    0U, // UMOPS_MPPZZ_D_PSEUDO
10935
414k
    0U, // UMOPS_MPPZZ_HtoS_PSEUDO
10936
414k
    0U, // UMOPS_MPPZZ_S_PSEUDO
10937
414k
    0U, // UMULH_ZPZZ_B_UNDEF
10938
414k
    0U, // UMULH_ZPZZ_D_UNDEF
10939
414k
    0U, // UMULH_ZPZZ_H_UNDEF
10940
414k
    0U, // UMULH_ZPZZ_S_UNDEF
10941
414k
    0U, // UQRSHL_ZPZZ_B_UNDEF
10942
414k
    0U, // UQRSHL_ZPZZ_D_UNDEF
10943
414k
    0U, // UQRSHL_ZPZZ_H_UNDEF
10944
414k
    0U, // UQRSHL_ZPZZ_S_UNDEF
10945
414k
    0U, // UQSHL_ZPZI_B_ZERO
10946
414k
    0U, // UQSHL_ZPZI_D_ZERO
10947
414k
    0U, // UQSHL_ZPZI_H_ZERO
10948
414k
    0U, // UQSHL_ZPZI_S_ZERO
10949
414k
    0U, // UQSHL_ZPZZ_B_UNDEF
10950
414k
    0U, // UQSHL_ZPZZ_D_UNDEF
10951
414k
    0U, // UQSHL_ZPZZ_H_UNDEF
10952
414k
    0U, // UQSHL_ZPZZ_S_UNDEF
10953
414k
    0U, // URECPE_ZPmZ_S_UNDEF
10954
414k
    0U, // URSHL_ZPZZ_B_UNDEF
10955
414k
    0U, // URSHL_ZPZZ_D_UNDEF
10956
414k
    0U, // URSHL_ZPZZ_H_UNDEF
10957
414k
    0U, // URSHL_ZPZZ_S_UNDEF
10958
414k
    0U, // URSHR_ZPZI_B_ZERO
10959
414k
    0U, // URSHR_ZPZI_D_ZERO
10960
414k
    0U, // URSHR_ZPZI_H_ZERO
10961
414k
    0U, // URSHR_ZPZI_S_ZERO
10962
414k
    0U, // URSQRTE_ZPmZ_S_UNDEF
10963
414k
    0U, // USDOT_VG2_M2Z2Z_BToS_PSEUDO
10964
414k
    0U, // USDOT_VG2_M2ZZI_BToS_PSEUDO
10965
414k
    0U, // USDOT_VG2_M2ZZ_BToS_PSEUDO
10966
414k
    0U, // USDOT_VG4_M4Z4Z_BToS_PSEUDO
10967
414k
    0U, // USDOT_VG4_M4ZZI_BToS_PSEUDO
10968
414k
    0U, // USDOT_VG4_M4ZZ_BToS_PSEUDO
10969
414k
    0U, // USMLALL_MZZI_BtoS_PSEUDO
10970
414k
    0U, // USMLALL_MZZ_BtoS_PSEUDO
10971
414k
    0U, // USMLALL_VG2_M2Z2Z_BtoS_PSEUDO
10972
414k
    0U, // USMLALL_VG2_M2ZZI_BtoS_PSEUDO
10973
414k
    0U, // USMLALL_VG2_M2ZZ_BtoS_PSEUDO
10974
414k
    0U, // USMLALL_VG4_M4Z4Z_BtoS_PSEUDO
10975
414k
    0U, // USMLALL_VG4_M4ZZI_BtoS_PSEUDO
10976
414k
    0U, // USMLALL_VG4_M4ZZ_BtoS_PSEUDO
10977
414k
    0U, // USMOPA_MPPZZ_D_PSEUDO
10978
414k
    0U, // USMOPA_MPPZZ_S_PSEUDO
10979
414k
    0U, // USMOPS_MPPZZ_D_PSEUDO
10980
414k
    0U, // USMOPS_MPPZZ_S_PSEUDO
10981
414k
    0U, // USVDOT_VG4_M4ZZI_BToS_PSEUDO
10982
414k
    0U, // UVDOT_VG2_M2ZZI_HtoS_PSEUDO
10983
414k
    0U, // UVDOT_VG4_M4ZZI_BtoS_PSEUDO
10984
414k
    0U, // UVDOT_VG4_M4ZZI_HtoD_PSEUDO
10985
414k
    0U, // UXTB_ZPmZ_D_UNDEF
10986
414k
    0U, // UXTB_ZPmZ_H_UNDEF
10987
414k
    0U, // UXTB_ZPmZ_S_UNDEF
10988
414k
    0U, // UXTH_ZPmZ_D_UNDEF
10989
414k
    0U, // UXTH_ZPmZ_S_UNDEF
10990
414k
    0U, // UXTW_ZPmZ_D_UNDEF
10991
414k
    0U, // ZERO_M_PSEUDO
10992
414k
    0U, // ZERO_T_PSEUDO
10993
414k
    0U, // ABSWr
10994
414k
    0U, // ABSXr
10995
414k
    8U, // ABS_ZPmZ_B
10996
414k
    16U,  // ABS_ZPmZ_D
10997
414k
    0U, // ABS_ZPmZ_H
10998
414k
    24U,  // ABS_ZPmZ_S
10999
414k
    32U,  // ABSv16i8
11000
414k
    0U, // ABSv1i64
11001
414k
    40U,  // ABSv2i32
11002
414k
    48U,  // ABSv2i64
11003
414k
    56U,  // ABSv4i16
11004
414k
    64U,  // ABSv4i32
11005
414k
    72U,  // ABSv8i16
11006
414k
    80U,  // ABSv8i8
11007
414k
    1112U,  // ADCLB_ZZZ_D
11008
414k
    2136U,  // ADCLB_ZZZ_S
11009
414k
    1112U,  // ADCLT_ZZZ_D
11010
414k
    2136U,  // ADCLT_ZZZ_S
11011
414k
    3160U,  // ADCSWr
11012
414k
    3160U,  // ADCSXr
11013
414k
    3160U,  // ADCWr
11014
414k
    3160U,  // ADCXr
11015
414k
    135256U,  // ADDG
11016
414k
    0U, // ADDHA_MPPZ_D
11017
414k
    0U, // ADDHA_MPPZ_S
11018
414k
    5208U,  // ADDHNB_ZZZ_B
11019
414k
    96U,  // ADDHNB_ZZZ_H
11020
414k
    6232U,  // ADDHNB_ZZZ_S
11021
414k
    7256U,  // ADDHNT_ZZZ_B
11022
414k
    24U,  // ADDHNT_ZZZ_H
11023
414k
    1112U,  // ADDHNT_ZZZ_S
11024
414k
    270440U,  // ADDHNv2i64_v2i32
11025
414k
    271464U,  // ADDHNv2i64_v4i32
11026
414k
    401520U,  // ADDHNv4i32_v4i16
11027
414k
    402544U,  // ADDHNv4i32_v8i16
11028
414k
    533624U,  // ADDHNv8i16_v16i8
11029
414k
    532600U,  // ADDHNv8i16_v8i8
11030
414k
    3160U,  // ADDPL_XXI
11031
414k
    658520U,  // ADDPT_shift
11032
414k
    16918656U,  // ADDP_ZPmZ_B
11033
414k
    33691776U,  // ADDP_ZPmZ_D
11034
414k
    51129480U,  // ADDP_ZPmZ_H
11035
414k
    67252352U,  // ADDP_ZPmZ_S
11036
414k
    925840U,  // ADDPv16i8
11037
414k
    1056920U, // ADDPv2i32
11038
414k
    270440U,  // ADDPv2i64
11039
414k
    48U,  // ADDPv2i64p
11040
414k
    1188000U, // ADDPv4i16
11041
414k
    401520U,  // ADDPv4i32
11042
414k
    532600U,  // ADDPv8i16
11043
414k
    1319080U, // ADDPv8i8
11044
414k
    10328U, // ADDQV_VPZ_B
11045
414k
    6232U,  // ADDQV_VPZ_D
11046
414k
    5208U,  // ADDQV_VPZ_H
11047
414k
    12376U, // ADDQV_VPZ_S
11048
414k
    3160U,  // ADDSPL_XXI
11049
414k
    3160U,  // ADDSVL_XXI
11050
414k
    13400U, // ADDSWri
11051
414k
    14424U, // ADDSWrs
11052
414k
    15448U, // ADDSWrx
11053
414k
    13400U, // ADDSXri
11054
414k
    14424U, // ADDSXrs
11055
414k
    15448U, // ADDSXrx
11056
414k
    1444952U, // ADDSXrx64
11057
414k
    0U, // ADDVA_MPPZ_D
11058
414k
    0U, // ADDVA_MPPZ_S
11059
414k
    3160U,  // ADDVL_XXI
11060
414k
    32U,  // ADDVv16i8v
11061
414k
    56U,  // ADDVv4i16v
11062
414k
    64U,  // ADDVv4i32v
11063
414k
    72U,  // ADDVv8i16v
11064
414k
    80U,  // ADDVv8i8v
11065
414k
    13400U, // ADDWri
11066
414k
    14424U, // ADDWrs
11067
414k
    15448U, // ADDWrx
11068
414k
    13400U, // ADDXri
11069
414k
    14424U, // ADDXrs
11070
414k
    15448U, // ADDXrx
11071
414k
    1444952U, // ADDXrx64
11072
414k
    176U, // ADD_VG2_2ZZ_B
11073
414k
    184U, // ADD_VG2_2ZZ_D
11074
414k
    136U, // ADD_VG2_2ZZ_H
11075
414k
    96U,  // ADD_VG2_2ZZ_S
11076
414k
    1584320U, // ADD_VG2_M2Z2Z_D
11077
414k
    1715400U, // ADD_VG2_M2Z2Z_S
11078
414k
    52178112U,  // ADD_VG2_M2ZZ_D
11079
414k
    52309192U,  // ADD_VG2_M2ZZ_S
11080
414k
    192U, // ADD_VG2_M2Z_D
11081
414k
    200U, // ADD_VG2_M2Z_S
11082
414k
    176U, // ADD_VG4_4ZZ_B
11083
414k
    184U, // ADD_VG4_4ZZ_D
11084
414k
    136U, // ADD_VG4_4ZZ_H
11085
414k
    96U,  // ADD_VG4_4ZZ_S
11086
414k
    1584320U, // ADD_VG4_M4Z4Z_D
11087
414k
    1715400U, // ADD_VG4_M4Z4Z_S
11088
414k
    52178112U,  // ADD_VG4_M4ZZ_D
11089
414k
    52309192U,  // ADD_VG4_M4ZZ_S
11090
414k
    192U, // ADD_VG4_M4Z_D
11091
414k
    200U, // ADD_VG4_M4Z_S
11092
414k
    16473U, // ADD_ZI_B
11093
414k
    17496U, // ADD_ZI_D
11094
414k
    208U, // ADD_ZI_H
11095
414k
    18521U, // ADD_ZI_S
11096
414k
    16918656U,  // ADD_ZPmZ_B
11097
414k
    33691776U,  // ADD_ZPmZ_CPA
11098
414k
    33691776U,  // ADD_ZPmZ_D
11099
414k
    51129480U,  // ADD_ZPmZ_H
11100
414k
    67252352U,  // ADD_ZPmZ_S
11101
414k
    10329U, // ADD_ZZZ_B
11102
414k
    6232U,  // ADD_ZZZ_CPA
11103
414k
    6232U,  // ADD_ZZZ_D
11104
414k
    136U, // ADD_ZZZ_H
11105
414k
    12377U, // ADD_ZZZ_S
11106
414k
    925840U,  // ADDv16i8
11107
414k
    3160U,  // ADDv1i64
11108
414k
    1056920U, // ADDv2i32
11109
414k
    270440U,  // ADDv2i64
11110
414k
    1188000U, // ADDv4i16
11111
414k
    401520U,  // ADDv4i32
11112
414k
    532600U,  // ADDv8i16
11113
414k
    1319080U, // ADDv8i8
11114
414k
    1U, // ADR
11115
414k
    1U, // ADRP
11116
414k
    19544U, // ADR_LSL_ZZZ_D_0
11117
414k
    20568U, // ADR_LSL_ZZZ_D_1
11118
414k
    21592U, // ADR_LSL_ZZZ_D_2
11119
414k
    22616U, // ADR_LSL_ZZZ_D_3
11120
414k
    23641U, // ADR_LSL_ZZZ_S_0
11121
414k
    24665U, // ADR_LSL_ZZZ_S_1
11122
414k
    25689U, // ADR_LSL_ZZZ_S_2
11123
414k
    26713U, // ADR_LSL_ZZZ_S_3
11124
414k
    27736U, // ADR_SXTW_ZZZ_D_0
11125
414k
    28760U, // ADR_SXTW_ZZZ_D_1
11126
414k
    29784U, // ADR_SXTW_ZZZ_D_2
11127
414k
    30808U, // ADR_SXTW_ZZZ_D_3
11128
414k
    31832U, // ADR_UXTW_ZZZ_D_0
11129
414k
    32856U, // ADR_UXTW_ZZZ_D_1
11130
414k
    33880U, // ADR_UXTW_ZZZ_D_2
11131
414k
    34904U, // ADR_UXTW_ZZZ_D_3
11132
414k
    10329U, // AESD_ZZZ_B
11133
414k
    32U,  // AESDrr
11134
414k
    10329U, // AESE_ZZZ_B
11135
414k
    32U,  // AESErr
11136
414k
    1U, // AESIMC_ZZ_B
11137
414k
    32U,  // AESIMCrr
11138
414k
    1U, // AESMC_ZZ_B
11139
414k
    32U,  // AESMCrr
11140
414k
    10328U, // ANDQV_VPZ_B
11141
414k
    6232U,  // ANDQV_VPZ_D
11142
414k
    5208U,  // ANDQV_VPZ_H
11143
414k
    12376U, // ANDQV_VPZ_S
11144
414k
    35928U, // ANDSWri
11145
414k
    14424U, // ANDSWrs
11146
414k
    36952U, // ANDSXri
11147
414k
    14424U, // ANDSXrs
11148
414k
    16918744U,  // ANDS_PPzPP
11149
414k
    0U, // ANDV_VPZ_B
11150
414k
    0U, // ANDV_VPZ_D
11151
414k
    0U, // ANDV_VPZ_H
11152
414k
    0U, // ANDV_VPZ_S
11153
414k
    35928U, // ANDWri
11154
414k
    14424U, // ANDWrs
11155
414k
    36952U, // ANDXri
11156
414k
    14424U, // ANDXrs
11157
414k
    16918744U,  // AND_PPzPP
11158
414k
    36952U, // AND_ZI
11159
414k
    16918656U,  // AND_ZPmZ_B
11160
414k
    33691776U,  // AND_ZPmZ_D
11161
414k
    51129480U,  // AND_ZPmZ_H
11162
414k
    67252352U,  // AND_ZPmZ_S
11163
414k
    6232U,  // AND_ZZZ
11164
414k
    925840U,  // ANDv16i8
11165
414k
    1319080U, // ANDv8i8
11166
414k
    141440U,  // ASRD_ZPmI_B
11167
414k
    137344U,  // ASRD_ZPmI_D
11168
414k
    52440200U,  // ASRD_ZPmI_H
11169
414k
    143488U,  // ASRD_ZPmI_S
11170
414k
    16918656U,  // ASRR_ZPmZ_B
11171
414k
    33691776U,  // ASRR_ZPmZ_D
11172
414k
    51129480U,  // ASRR_ZPmZ_H
11173
414k
    67252352U,  // ASRR_ZPmZ_S
11174
414k
    3160U,  // ASRVWr
11175
414k
    3160U,  // ASRVXr
11176
414k
    33695872U,  // ASR_WIDE_ZPmZ_B
11177
414k
    2239624U, // ASR_WIDE_ZPmZ_H
11178
414k
    33697920U,  // ASR_WIDE_ZPmZ_S
11179
414k
    6233U,  // ASR_WIDE_ZZZ_B
11180
414k
    184U, // ASR_WIDE_ZZZ_H
11181
414k
    6233U,  // ASR_WIDE_ZZZ_S
11182
414k
    141440U,  // ASR_ZPmI_B
11183
414k
    137344U,  // ASR_ZPmI_D
11184
414k
    52440200U,  // ASR_ZPmI_H
11185
414k
    143488U,  // ASR_ZPmI_S
11186
414k
    16918656U,  // ASR_ZPmZ_B
11187
414k
    33691776U,  // ASR_ZPmZ_D
11188
414k
    51129480U,  // ASR_ZPmZ_H
11189
414k
    67252352U,  // ASR_ZPmZ_S
11190
414k
    3161U,  // ASR_ZZI_B
11191
414k
    3160U,  // ASR_ZZI_D
11192
414k
    224U, // ASR_ZZI_H
11193
414k
    3161U,  // ASR_ZZI_S
11194
414k
    1U, // AUTDA
11195
414k
    1U, // AUTDB
11196
414k
    0U, // AUTDZA
11197
414k
    0U, // AUTDZB
11198
414k
    1U, // AUTIA
11199
414k
    0U, // AUTIA1716
11200
414k
    0U, // AUTIA171615
11201
414k
    0U, // AUTIASP
11202
414k
    0U, // AUTIASPPCi
11203
414k
    0U, // AUTIASPPCr
11204
414k
    0U, // AUTIAZ
11205
414k
    1U, // AUTIB
11206
414k
    0U, // AUTIB1716
11207
414k
    0U, // AUTIB171615
11208
414k
    0U, // AUTIBSP
11209
414k
    0U, // AUTIBSPPCi
11210
414k
    0U, // AUTIBSPPCr
11211
414k
    0U, // AUTIBZ
11212
414k
    0U, // AUTIZA
11213
414k
    0U, // AUTIZB
11214
414k
    0U, // AXFLAG
11215
414k
    0U, // B
11216
414k
    86253712U,  // BCAX
11217
414k
    33691736U,  // BCAX_ZZZZ
11218
414k
    0U, // BCcc
11219
414k
    10329U, // BDEP_ZZZ_B
11220
414k
    6232U,  // BDEP_ZZZ_D
11221
414k
    136U, // BDEP_ZZZ_H
11222
414k
    12377U, // BDEP_ZZZ_S
11223
414k
    10329U, // BEXT_ZZZ_B
11224
414k
    6232U,  // BEXT_ZZZ_D
11225
414k
    136U, // BEXT_ZZZ_H
11226
414k
    12377U, // BEXT_ZZZ_S
11227
414k
    2499744U, // BF16DOTlanev4bf16
11228
414k
    2499704U, // BF16DOTlanev8bf16
11229
414k
    32U,  // BF1CVTL2v8f16
11230
414k
    0U, // BF1CVTLT_ZZ_BtoH
11231
414k
    0U, // BF1CVTL_2ZZ_BtoH_NAME
11232
414k
    80U,  // BF1CVTLv8f16
11233
414k
    0U, // BF1CVT_2ZZ_BtoH_NAME
11234
414k
    0U, // BF1CVT_ZZ_BtoH
11235
414k
    32U,  // BF2CVTL2v8f16
11236
414k
    0U, // BF2CVTLT_ZZ_BtoH
11237
414k
    0U, // BF2CVTL_2ZZ_BtoH_NAME
11238
414k
    80U,  // BF2CVTLv8f16
11239
414k
    0U, // BF2CVT_2ZZ_BtoH_NAME
11240
414k
    0U, // BF2CVT_ZZ_BtoH
11241
414k
    232U, // BFADD_VG2_M2Z_H
11242
414k
    232U, // BFADD_VG4_M4Z_H
11243
414k
    51129480U,  // BFADD_ZPmZZ
11244
414k
    136U, // BFADD_ZZZ
11245
414k
    240U, // BFCLAMP_VG2_2ZZZ_H
11246
414k
    240U, // BFCLAMP_VG4_4ZZZ_H
11247
414k
    240U, // BFCLAMP_ZZZ
11248
414k
    0U, // BFCVT
11249
414k
    64U,  // BFCVTN
11250
414k
    64U,  // BFCVTN2
11251
414k
    1U, // BFCVTNT_ZPmZ
11252
414k
    1U, // BFCVTN_Z2Z_HtoB
11253
414k
    0U, // BFCVTN_Z2Z_StoH
11254
414k
    1U, // BFCVT_Z2Z_HtoB
11255
414k
    0U, // BFCVT_Z2Z_StoH
11256
414k
    1U, // BFCVT_ZPmZ
11257
414k
    2632936U, // BFDOT_VG2_M2Z2Z_HtoS
11258
414k
    103427304U, // BFDOT_VG2_M2ZZI_HtoS
11259
414k
    53095656U,  // BFDOT_VG2_M2ZZ_HtoS
11260
414k
    2632936U, // BFDOT_VG4_M4Z4Z_HtoS
11261
414k
    103427304U, // BFDOT_VG4_M4ZZI_HtoS
11262
414k
    53095656U,  // BFDOT_VG4_M4ZZ_HtoS
11263
414k
    53222488U,  // BFDOT_ZZI
11264
414k
    7256U,  // BFDOT_ZZZ
11265
414k
    1189024U, // BFDOTv4bf16
11266
414k
    533624U,  // BFDOTv8bf16
11267
414k
    248U, // BFMAXNM_VG2_2Z2Z_H
11268
414k
    136U, // BFMAXNM_VG2_2ZZ_H
11269
414k
    248U, // BFMAXNM_VG4_4Z2Z_H
11270
414k
    136U, // BFMAXNM_VG4_4ZZ_H
11271
414k
    51129480U,  // BFMAXNM_ZPmZZ
11272
414k
    248U, // BFMAX_VG2_2Z2Z_H
11273
414k
    136U, // BFMAX_VG2_2ZZ_H
11274
414k
    248U, // BFMAX_VG4_4Z2Z_H
11275
414k
    136U, // BFMAX_VG4_4ZZ_H
11276
414k
    51129480U,  // BFMAX_ZPmZZ
11277
414k
    248U, // BFMINNM_VG2_2Z2Z_H
11278
414k
    136U, // BFMINNM_VG2_2ZZ_H
11279
414k
    248U, // BFMINNM_VG4_4Z2Z_H
11280
414k
    136U, // BFMINNM_VG4_4ZZ_H
11281
414k
    51129480U,  // BFMINNM_ZPmZZ
11282
414k
    248U, // BFMIN_VG2_2Z2Z_H
11283
414k
    136U, // BFMIN_VG2_2ZZ_H
11284
414k
    248U, // BFMIN_VG4_4Z2Z_H
11285
414k
    136U, // BFMIN_VG4_4ZZ_H
11286
414k
    51129480U,  // BFMIN_ZPmZZ
11287
414k
    533624U,  // BFMLALB
11288
414k
    120464504U, // BFMLALBIdx
11289
414k
    7256U,  // BFMLALB_ZZZ
11290
414k
    53222488U,  // BFMLALB_ZZZI
11291
414k
    533624U,  // BFMLALT
11292
414k
    120464504U, // BFMLALTIdx
11293
414k
    7256U,  // BFMLALT_ZZZ
11294
414k
    53222488U,  // BFMLALT_ZZZI
11295
414k
    38145U, // BFMLAL_MZZI_HtoS
11296
414k
    257U, // BFMLAL_MZZ_HtoS
11297
414k
    2632936U, // BFMLAL_VG2_M2Z2Z_HtoS
11298
414k
    103427304U, // BFMLAL_VG2_M2ZZI_HtoS
11299
414k
    53095656U,  // BFMLAL_VG2_M2ZZ_HtoS
11300
414k
    2632936U, // BFMLAL_VG4_M4Z4Z_HtoS
11301
414k
    103427304U, // BFMLAL_VG4_M4ZZI_HtoS
11302
414k
    53095656U,  // BFMLAL_VG4_M4ZZ_HtoS
11303
414k
    2632936U, // BFMLA_VG2_M2Z2Z
11304
414k
    53095656U,  // BFMLA_VG2_M2ZZ
11305
414k
    103427304U, // BFMLA_VG2_M2ZZI
11306
414k
    2632936U, // BFMLA_VG4_M4Z4Z
11307
414k
    53095656U,  // BFMLA_VG4_M4ZZ
11308
414k
    103427304U, // BFMLA_VG4_M4ZZI
11309
414k
    53488880U,  // BFMLA_ZPmZZ
11310
414k
    39152U, // BFMLA_ZZZI
11311
414k
    53222488U,  // BFMLSLB_ZZZI_S
11312
414k
    7256U,  // BFMLSLB_ZZZ_S
11313
414k
    53222488U,  // BFMLSLT_ZZZI_S
11314
414k
    7256U,  // BFMLSLT_ZZZ_S
11315
414k
    38145U, // BFMLSL_MZZI_HtoS
11316
414k
    257U, // BFMLSL_MZZ_HtoS
11317
414k
    2632936U, // BFMLSL_VG2_M2Z2Z_HtoS
11318
414k
    103427304U, // BFMLSL_VG2_M2ZZI_HtoS
11319
414k
    53095656U,  // BFMLSL_VG2_M2ZZ_HtoS
11320
414k
    2632936U, // BFMLSL_VG4_M4Z4Z_HtoS
11321
414k
    103427304U, // BFMLSL_VG4_M4ZZI_HtoS
11322
414k
    53095656U,  // BFMLSL_VG4_M4ZZ_HtoS
11323
414k
    2632936U, // BFMLS_VG2_M2Z2Z
11324
414k
    53095656U,  // BFMLS_VG2_M2ZZ
11325
414k
    103427304U, // BFMLS_VG2_M2ZZI
11326
414k
    2632936U, // BFMLS_VG4_M4Z4Z
11327
414k
    53095656U,  // BFMLS_VG4_M4ZZ
11328
414k
    103427304U, // BFMLS_VG4_M4ZZI
11329
414k
    53488880U,  // BFMLS_ZPmZZ
11330
414k
    39152U, // BFMLS_ZZZI
11331
414k
    533624U,  // BFMMLA
11332
414k
    7256U,  // BFMMLA_ZZZ
11333
414k
    0U, // BFMOPA_MPPZZ
11334
414k
    0U, // BFMOPA_MPPZZ_H
11335
414k
    0U, // BFMOPS_MPPZZ
11336
414k
    0U, // BFMOPS_MPPZZ_H
11337
414k
    51129480U,  // BFMUL_ZPmZZ
11338
414k
    136U, // BFMUL_ZZZ
11339
414k
    40072U, // BFMUL_ZZZI
11340
414k
    134389849U, // BFMWri
11341
414k
    134389849U, // BFMXri
11342
414k
    232U, // BFSUB_VG2_M2Z_H
11343
414k
    232U, // BFSUB_VG4_M4Z_H
11344
414k
    51129480U,  // BFSUB_ZPmZZ
11345
414k
    136U, // BFSUB_ZZZ
11346
414k
    103427304U, // BFVDOT_VG2_M2ZZI_HtoS
11347
414k
    10329U, // BGRP_ZZZ_B
11348
414k
    6232U,  // BGRP_ZZZ_D
11349
414k
    136U, // BGRP_ZZZ_H
11350
414k
    12377U, // BGRP_ZZZ_S
11351
414k
    14424U, // BICSWrs
11352
414k
    14424U, // BICSXrs
11353
414k
    16918744U,  // BICS_PPzPP
11354
414k
    14424U, // BICWrs
11355
414k
    14424U, // BICXrs
11356
414k
    16918744U,  // BIC_PPzPP
11357
414k
    16918656U,  // BIC_ZPmZ_B
11358
414k
    33691776U,  // BIC_ZPmZ_D
11359
414k
    51129480U,  // BIC_ZPmZ_H
11360
414k
    67252352U,  // BIC_ZPmZ_S
11361
414k
    6232U,  // BIC_ZZZ
11362
414k
    925840U,  // BICv16i8
11363
414k
    1U, // BICv2i32
11364
414k
    1U, // BICv4i16
11365
414k
    1U, // BICv4i32
11366
414k
    1U, // BICv8i16
11367
414k
    1319080U, // BICv8i8
11368
414k
    926864U,  // BIFv16i8
11369
414k
    1320104U, // BIFv8i8
11370
414k
    926864U,  // BITv16i8
11371
414k
    1320104U, // BITv8i8
11372
414k
    0U, // BL
11373
414k
    0U, // BLR
11374
414k
    0U, // BLRAA
11375
414k
    0U, // BLRAAZ
11376
414k
    0U, // BLRAB
11377
414k
    0U, // BLRABZ
11378
414k
    264U, // BMOPA_MPPZZ_S
11379
414k
    264U, // BMOPS_MPPZZ_S
11380
414k
    0U, // BR
11381
414k
    0U, // BRAA
11382
414k
    0U, // BRAAZ
11383
414k
    0U, // BRAB
11384
414k
    0U, // BRABZ
11385
414k
    0U, // BRB_IALL
11386
414k
    0U, // BRB_INJ
11387
414k
    0U, // BRK
11388
414k
    10456U, // BRKAS_PPzP
11389
414k
    8U, // BRKA_PPmP
11390
414k
    10456U, // BRKA_PPzP
11391
414k
    10456U, // BRKBS_PPzP
11392
414k
    8U, // BRKB_PPmP
11393
414k
    10456U, // BRKB_PPzP
11394
414k
    16918744U,  // BRKNS_PPzP
11395
414k
    16918744U,  // BRKN_PPzP
11396
414k
    16918744U,  // BRKPAS_PPzPP
11397
414k
    16918744U,  // BRKPA_PPzPP
11398
414k
    16918744U,  // BRKPBS_PPzPP
11399
414k
    16918744U,  // BRKPB_PPzPP
11400
414k
    33691736U,  // BSL1N_ZZZZ
11401
414k
    33691736U,  // BSL2N_ZZZZ
11402
414k
    33691736U,  // BSL_ZZZZ
11403
414k
    926864U,  // BSLv16i8
11404
414k
    1320104U, // BSLv8i8
11405
414k
    0U, // Bcc
11406
414k
    151136345U, // CADD_ZZI_B
11407
414k
    151132248U, // CADD_ZZI_D
11408
414k
    3288200U, // CADD_ZZI_H
11409
414k
    151138393U, // CADD_ZZI_S
11410
414k
    3449105U, // CASAB
11411
414k
    3449105U, // CASAH
11412
414k
    3449105U, // CASALB
11413
414k
    3449105U, // CASALH
11414
414k
    3449105U, // CASALW
11415
414k
    3449105U, // CASALX
11416
414k
    3449105U, // CASAW
11417
414k
    3449105U, // CASAX
11418
414k
    3449105U, // CASB
11419
414k
    3449105U, // CASH
11420
414k
    3449105U, // CASLB
11421
414k
    3449105U, // CASLH
11422
414k
    3449105U, // CASLW
11423
414k
    3449105U, // CASLX
11424
414k
    0U, // CASPALW
11425
414k
    0U, // CASPALX
11426
414k
    0U, // CASPAW
11427
414k
    0U, // CASPAX
11428
414k
    0U, // CASPLW
11429
414k
    0U, // CASPLX
11430
414k
    0U, // CASPW
11431
414k
    0U, // CASPX
11432
414k
    3449105U, // CASW
11433
414k
    3449105U, // CASX
11434
414k
    1U, // CBNZW
11435
414k
    1U, // CBNZX
11436
414k
    1U, // CBZW
11437
414k
    1U, // CBZX
11438
414k
    167906392U, // CCMNWi
11439
414k
    167906392U, // CCMNWr
11440
414k
    167906392U, // CCMNXi
11441
414k
    167906392U, // CCMNXr
11442
414k
    167906392U, // CCMPWi
11443
414k
    167906392U, // CCMPWr
11444
414k
    167906392U, // CCMPXi
11445
414k
    167906392U, // CCMPXr
11446
414k
    187440216U, // CDOT_ZZZI_D
11447
414k
    201496585U, // CDOT_ZZZI_S
11448
414k
    218242136U, // CDOT_ZZZ_D
11449
414k
    3550217U, // CDOT_ZZZ_S
11450
414k
    0U, // CFINV
11451
414k
    0U, // CHKFEAT
11452
414k
    16911448U,  // CLASTA_RPZ_B
11453
414k
    33688664U,  // CLASTA_RPZ_D
11454
414k
    235015256U, // CLASTA_RPZ_H
11455
414k
    67243096U,  // CLASTA_RPZ_S
11456
414k
    16911448U,  // CLASTA_VPZ_B
11457
414k
    33688664U,  // CLASTA_VPZ_D
11458
414k
    235015256U, // CLASTA_VPZ_H
11459
414k
    67243096U,  // CLASTA_VPZ_S
11460
414k
    16918616U,  // CLASTA_ZPZ_B
11461
414k
    33691736U,  // CLASTA_ZPZ_D
11462
414k
    51129480U,  // CLASTA_ZPZ_H
11463
414k
    67252312U,  // CLASTA_ZPZ_S
11464
414k
    16911448U,  // CLASTB_RPZ_B
11465
414k
    33688664U,  // CLASTB_RPZ_D
11466
414k
    235015256U, // CLASTB_RPZ_H
11467
414k
    67243096U,  // CLASTB_RPZ_S
11468
414k
    16911448U,  // CLASTB_VPZ_B
11469
414k
    33688664U,  // CLASTB_VPZ_D
11470
414k
    235015256U, // CLASTB_VPZ_H
11471
414k
    67243096U,  // CLASTB_VPZ_S
11472
414k
    16918616U,  // CLASTB_ZPZ_B
11473
414k
    33691736U,  // CLASTB_ZPZ_D
11474
414k
    51129480U,  // CLASTB_ZPZ_H
11475
414k
    67252312U,  // CLASTB_ZPZ_S
11476
414k
    0U, // CLREX
11477
414k
    0U, // CLSWr
11478
414k
    0U, // CLSXr
11479
414k
    8U, // CLS_ZPmZ_B
11480
414k
    16U,  // CLS_ZPmZ_D
11481
414k
    0U, // CLS_ZPmZ_H
11482
414k
    24U,  // CLS_ZPmZ_S
11483
414k
    32U,  // CLSv16i8
11484
414k
    40U,  // CLSv2i32
11485
414k
    56U,  // CLSv4i16
11486
414k
    64U,  // CLSv4i32
11487
414k
    72U,  // CLSv8i16
11488
414k
    80U,  // CLSv8i8
11489
414k
    0U, // CLZWr
11490
414k
    0U, // CLZXr
11491
414k
    8U, // CLZ_ZPmZ_B
11492
414k
    16U,  // CLZ_ZPmZ_D
11493
414k
    0U, // CLZ_ZPmZ_H
11494
414k
    24U,  // CLZ_ZPmZ_S
11495
414k
    32U,  // CLZv16i8
11496
414k
    40U,  // CLZv2i32
11497
414k
    56U,  // CLZv4i16
11498
414k
    64U,  // CLZv4i32
11499
414k
    72U,  // CLZv8i16
11500
414k
    80U,  // CLZv8i8
11501
414k
    925840U,  // CMEQv16i8
11502
414k
    280U, // CMEQv16i8rz
11503
414k
    3160U,  // CMEQv1i64
11504
414k
    288U, // CMEQv1i64rz
11505
414k
    1056920U, // CMEQv2i32
11506
414k
    296U, // CMEQv2i32rz
11507
414k
    270440U,  // CMEQv2i64
11508
414k
    304U, // CMEQv2i64rz
11509
414k
    1188000U, // CMEQv4i16
11510
414k
    312U, // CMEQv4i16rz
11511
414k
    401520U,  // CMEQv4i32
11512
414k
    320U, // CMEQv4i32rz
11513
414k
    532600U,  // CMEQv8i16
11514
414k
    328U, // CMEQv8i16rz
11515
414k
    1319080U, // CMEQv8i8
11516
414k
    336U, // CMEQv8i8rz
11517
414k
    925840U,  // CMGEv16i8
11518
414k
    280U, // CMGEv16i8rz
11519
414k
    3160U,  // CMGEv1i64
11520
414k
    288U, // CMGEv1i64rz
11521
414k
    1056920U, // CMGEv2i32
11522
414k
    296U, // CMGEv2i32rz
11523
414k
    270440U,  // CMGEv2i64
11524
414k
    304U, // CMGEv2i64rz
11525
414k
    1188000U, // CMGEv4i16
11526
414k
    312U, // CMGEv4i16rz
11527
414k
    401520U,  // CMGEv4i32
11528
414k
    320U, // CMGEv4i32rz
11529
414k
    532600U,  // CMGEv8i16
11530
414k
    328U, // CMGEv8i16rz
11531
414k
    1319080U, // CMGEv8i8
11532
414k
    336U, // CMGEv8i8rz
11533
414k
    925840U,  // CMGTv16i8
11534
414k
    280U, // CMGTv16i8rz
11535
414k
    3160U,  // CMGTv1i64
11536
414k
    288U, // CMGTv1i64rz
11537
414k
    1056920U, // CMGTv2i32
11538
414k
    296U, // CMGTv2i32rz
11539
414k
    270440U,  // CMGTv2i64
11540
414k
    304U, // CMGTv2i64rz
11541
414k
    1188000U, // CMGTv4i16
11542
414k
    312U, // CMGTv4i16rz
11543
414k
    401520U,  // CMGTv4i32
11544
414k
    320U, // CMGTv4i32rz
11545
414k
    532600U,  // CMGTv8i16
11546
414k
    328U, // CMGTv8i16rz
11547
414k
    1319080U, // CMGTv8i8
11548
414k
    336U, // CMGTv8i8rz
11549
414k
    925840U,  // CMHIv16i8
11550
414k
    3160U,  // CMHIv1i64
11551
414k
    1056920U, // CMHIv2i32
11552
414k
    270440U,  // CMHIv2i64
11553
414k
    1188000U, // CMHIv4i16
11554
414k
    401520U,  // CMHIv4i32
11555
414k
    532600U,  // CMHIv8i16
11556
414k
    1319080U, // CMHIv8i8
11557
414k
    925840U,  // CMHSv16i8
11558
414k
    3160U,  // CMHSv1i64
11559
414k
    1056920U, // CMHSv2i32
11560
414k
    270440U,  // CMHSv2i64
11561
414k
    1188000U, // CMHSv4i16
11562
414k
    401520U,  // CMHSv4i32
11563
414k
    532600U,  // CMHSv8i16
11564
414k
    1319080U, // CMHSv8i8
11565
414k
    201496816U, // CMLA_ZZZI_H
11566
414k
    187435096U, // CMLA_ZZZI_S
11567
414k
    3550217U, // CMLA_ZZZ_B
11568
414k
    218235992U, // CMLA_ZZZ_D
11569
414k
    3550448U, // CMLA_ZZZ_H
11570
414k
    218237016U, // CMLA_ZZZ_S
11571
414k
    280U, // CMLEv16i8rz
11572
414k
    288U, // CMLEv1i64rz
11573
414k
    296U, // CMLEv2i32rz
11574
414k
    304U, // CMLEv2i64rz
11575
414k
    312U, // CMLEv4i16rz
11576
414k
    320U, // CMLEv4i32rz
11577
414k
    328U, // CMLEv8i16rz
11578
414k
    336U, // CMLEv8i8rz
11579
414k
    280U, // CMLTv16i8rz
11580
414k
    288U, // CMLTv1i64rz
11581
414k
    296U, // CMLTv2i32rz
11582
414k
    304U, // CMLTv2i64rz
11583
414k
    312U, // CMLTv4i16rz
11584
414k
    320U, // CMLTv4i32rz
11585
414k
    328U, // CMLTv8i16rz
11586
414k
    336U, // CMLTv8i8rz
11587
414k
    141528U,  // CMPEQ_PPzZI_B
11588
414k
    137432U,  // CMPEQ_PPzZI_D
11589
414k
    52440201U,  // CMPEQ_PPzZI_H
11590
414k
    143576U,  // CMPEQ_PPzZI_S
11591
414k
    16918744U,  // CMPEQ_PPzZZ_B
11592
414k
    33691864U,  // CMPEQ_PPzZZ_D
11593
414k
    51129481U,  // CMPEQ_PPzZZ_H
11594
414k
    67252440U,  // CMPEQ_PPzZZ_S
11595
414k
    33695960U,  // CMPEQ_WIDE_PPzZZ_B
11596
414k
    2239625U, // CMPEQ_WIDE_PPzZZ_H
11597
414k
    33698008U,  // CMPEQ_WIDE_PPzZZ_S
11598
414k
    141528U,  // CMPGE_PPzZI_B
11599
414k
    137432U,  // CMPGE_PPzZI_D
11600
414k
    52440201U,  // CMPGE_PPzZI_H
11601
414k
    143576U,  // CMPGE_PPzZI_S
11602
414k
    16918744U,  // CMPGE_PPzZZ_B
11603
414k
    33691864U,  // CMPGE_PPzZZ_D
11604
414k
    51129481U,  // CMPGE_PPzZZ_H
11605
414k
    67252440U,  // CMPGE_PPzZZ_S
11606
414k
    33695960U,  // CMPGE_WIDE_PPzZZ_B
11607
414k
    2239625U, // CMPGE_WIDE_PPzZZ_H
11608
414k
    33698008U,  // CMPGE_WIDE_PPzZZ_S
11609
414k
    141528U,  // CMPGT_PPzZI_B
11610
414k
    137432U,  // CMPGT_PPzZI_D
11611
414k
    52440201U,  // CMPGT_PPzZI_H
11612
414k
    143576U,  // CMPGT_PPzZI_S
11613
414k
    16918744U,  // CMPGT_PPzZZ_B
11614
414k
    33691864U,  // CMPGT_PPzZZ_D
11615
414k
    51129481U,  // CMPGT_PPzZZ_H
11616
414k
    67252440U,  // CMPGT_PPzZZ_S
11617
414k
    33695960U,  // CMPGT_WIDE_PPzZZ_B
11618
414k
    2239625U, // CMPGT_WIDE_PPzZZ_H
11619
414k
    33698008U,  // CMPGT_WIDE_PPzZZ_S
11620
414k
    251799768U, // CMPHI_PPzZI_B
11621
414k
    251795672U, // CMPHI_PPzZI_D
11622
414k
    3681417U, // CMPHI_PPzZI_H
11623
414k
    251801816U, // CMPHI_PPzZI_S
11624
414k
    16918744U,  // CMPHI_PPzZZ_B
11625
414k
    33691864U,  // CMPHI_PPzZZ_D
11626
414k
    51129481U,  // CMPHI_PPzZZ_H
11627
414k
    67252440U,  // CMPHI_PPzZZ_S
11628
414k
    33695960U,  // CMPHI_WIDE_PPzZZ_B
11629
414k
    2239625U, // CMPHI_WIDE_PPzZZ_H
11630
414k
    33698008U,  // CMPHI_WIDE_PPzZZ_S
11631
414k
    251799768U, // CMPHS_PPzZI_B
11632
414k
    251795672U, // CMPHS_PPzZI_D
11633
414k
    3681417U, // CMPHS_PPzZI_H
11634
414k
    251801816U, // CMPHS_PPzZI_S
11635
414k
    16918744U,  // CMPHS_PPzZZ_B
11636
414k
    33691864U,  // CMPHS_PPzZZ_D
11637
414k
    51129481U,  // CMPHS_PPzZZ_H
11638
414k
    67252440U,  // CMPHS_PPzZZ_S
11639
414k
    33695960U,  // CMPHS_WIDE_PPzZZ_B
11640
414k
    2239625U, // CMPHS_WIDE_PPzZZ_H
11641
414k
    33698008U,  // CMPHS_WIDE_PPzZZ_S
11642
414k
    141528U,  // CMPLE_PPzZI_B
11643
414k
    137432U,  // CMPLE_PPzZI_D
11644
414k
    52440201U,  // CMPLE_PPzZI_H
11645
414k
    143576U,  // CMPLE_PPzZI_S
11646
414k
    33695960U,  // CMPLE_WIDE_PPzZZ_B
11647
414k
    2239625U, // CMPLE_WIDE_PPzZZ_H
11648
414k
    33698008U,  // CMPLE_WIDE_PPzZZ_S
11649
414k
    251799768U, // CMPLO_PPzZI_B
11650
414k
    251795672U, // CMPLO_PPzZI_D
11651
414k
    3681417U, // CMPLO_PPzZI_H
11652
414k
    251801816U, // CMPLO_PPzZI_S
11653
414k
    33695960U,  // CMPLO_WIDE_PPzZZ_B
11654
414k
    2239625U, // CMPLO_WIDE_PPzZZ_H
11655
414k
    33698008U,  // CMPLO_WIDE_PPzZZ_S
11656
414k
    251799768U, // CMPLS_PPzZI_B
11657
414k
    251795672U, // CMPLS_PPzZI_D
11658
414k
    3681417U, // CMPLS_PPzZI_H
11659
414k
    251801816U, // CMPLS_PPzZI_S
11660
414k
    33695960U,  // CMPLS_WIDE_PPzZZ_B
11661
414k
    2239625U, // CMPLS_WIDE_PPzZZ_H
11662
414k
    33698008U,  // CMPLS_WIDE_PPzZZ_S
11663
414k
    141528U,  // CMPLT_PPzZI_B
11664
414k
    137432U,  // CMPLT_PPzZI_D
11665
414k
    52440201U,  // CMPLT_PPzZI_H
11666
414k
    143576U,  // CMPLT_PPzZI_S
11667
414k
    33695960U,  // CMPLT_WIDE_PPzZZ_B
11668
414k
    2239625U, // CMPLT_WIDE_PPzZZ_H
11669
414k
    33698008U,  // CMPLT_WIDE_PPzZZ_S
11670
414k
    141528U,  // CMPNE_PPzZI_B
11671
414k
    137432U,  // CMPNE_PPzZI_D
11672
414k
    52440201U,  // CMPNE_PPzZI_H
11673
414k
    143576U,  // CMPNE_PPzZI_S
11674
414k
    16918744U,  // CMPNE_PPzZZ_B
11675
414k
    33691864U,  // CMPNE_PPzZZ_D
11676
414k
    51129481U,  // CMPNE_PPzZZ_H
11677
414k
    67252440U,  // CMPNE_PPzZZ_S
11678
414k
    33695960U,  // CMPNE_WIDE_PPzZZ_B
11679
414k
    2239625U, // CMPNE_WIDE_PPzZZ_H
11680
414k
    33698008U,  // CMPNE_WIDE_PPzZZ_S
11681
414k
    925840U,  // CMTSTv16i8
11682
414k
    3160U,  // CMTSTv1i64
11683
414k
    1056920U, // CMTSTv2i32
11684
414k
    270440U,  // CMTSTv2i64
11685
414k
    1188000U, // CMTSTv4i16
11686
414k
    401520U,  // CMTSTv4i32
11687
414k
    532600U,  // CMTSTv8i16
11688
414k
    1319080U, // CMTSTv8i8
11689
414k
    8U, // CNOT_ZPmZ_B
11690
414k
    16U,  // CNOT_ZPmZ_D
11691
414k
    0U, // CNOT_ZPmZ_H
11692
414k
    24U,  // CNOT_ZPmZ_S
11693
414k
    345U, // CNTB_XPiI
11694
414k
    345U, // CNTD_XPiI
11695
414k
    345U, // CNTH_XPiI
11696
414k
    1U, // CNTP_XCI_B
11697
414k
    1U, // CNTP_XCI_D
11698
414k
    1U, // CNTP_XCI_H
11699
414k
    1U, // CNTP_XCI_S
11700
414k
    10328U, // CNTP_XPP_B
11701
414k
    6232U,  // CNTP_XPP_D
11702
414k
    5208U,  // CNTP_XPP_H
11703
414k
    12376U, // CNTP_XPP_S
11704
414k
    345U, // CNTW_XPiI
11705
414k
    0U, // CNTWr
11706
414k
    0U, // CNTXr
11707
414k
    8U, // CNT_ZPmZ_B
11708
414k
    16U,  // CNT_ZPmZ_D
11709
414k
    0U, // CNT_ZPmZ_H
11710
414k
    24U,  // CNT_ZPmZ_S
11711
414k
    32U,  // CNTv16i8
11712
414k
    80U,  // CNTv8i8
11713
414k
    6232U,  // COMPACT_ZPZ_D
11714
414k
    12376U, // COMPACT_ZPZ_S
11715
414k
    0U, // CPYE
11716
414k
    0U, // CPYEN
11717
414k
    0U, // CPYERN
11718
414k
    0U, // CPYERT
11719
414k
    0U, // CPYERTN
11720
414k
    0U, // CPYERTRN
11721
414k
    0U, // CPYERTWN
11722
414k
    0U, // CPYET
11723
414k
    0U, // CPYETN
11724
414k
    0U, // CPYETRN
11725
414k
    0U, // CPYETWN
11726
414k
    0U, // CPYEWN
11727
414k
    0U, // CPYEWT
11728
414k
    0U, // CPYEWTN
11729
414k
    0U, // CPYEWTRN
11730
414k
    0U, // CPYEWTWN
11731
414k
    0U, // CPYFE
11732
414k
    0U, // CPYFEN
11733
414k
    0U, // CPYFERN
11734
414k
    0U, // CPYFERT
11735
414k
    0U, // CPYFERTN
11736
414k
    0U, // CPYFERTRN
11737
414k
    0U, // CPYFERTWN
11738
414k
    0U, // CPYFET
11739
414k
    0U, // CPYFETN
11740
414k
    0U, // CPYFETRN
11741
414k
    0U, // CPYFETWN
11742
414k
    0U, // CPYFEWN
11743
414k
    0U, // CPYFEWT
11744
414k
    0U, // CPYFEWTN
11745
414k
    0U, // CPYFEWTRN
11746
414k
    0U, // CPYFEWTWN
11747
414k
    0U, // CPYFM
11748
414k
    0U, // CPYFMN
11749
414k
    0U, // CPYFMRN
11750
414k
    0U, // CPYFMRT
11751
414k
    0U, // CPYFMRTN
11752
414k
    0U, // CPYFMRTRN
11753
414k
    0U, // CPYFMRTWN
11754
414k
    0U, // CPYFMT
11755
414k
    0U, // CPYFMTN
11756
414k
    0U, // CPYFMTRN
11757
414k
    0U, // CPYFMTWN
11758
414k
    0U, // CPYFMWN
11759
414k
    0U, // CPYFMWT
11760
414k
    0U, // CPYFMWTN
11761
414k
    0U, // CPYFMWTRN
11762
414k
    0U, // CPYFMWTWN
11763
414k
    0U, // CPYFP
11764
414k
    0U, // CPYFPN
11765
414k
    0U, // CPYFPRN
11766
414k
    0U, // CPYFPRT
11767
414k
    0U, // CPYFPRTN
11768
414k
    0U, // CPYFPRTRN
11769
414k
    0U, // CPYFPRTWN
11770
414k
    0U, // CPYFPT
11771
414k
    0U, // CPYFPTN
11772
414k
    0U, // CPYFPTRN
11773
414k
    0U, // CPYFPTWN
11774
414k
    0U, // CPYFPWN
11775
414k
    0U, // CPYFPWT
11776
414k
    0U, // CPYFPWTN
11777
414k
    0U, // CPYFPWTRN
11778
414k
    0U, // CPYFPWTWN
11779
414k
    0U, // CPYM
11780
414k
    0U, // CPYMN
11781
414k
    0U, // CPYMRN
11782
414k
    0U, // CPYMRT
11783
414k
    0U, // CPYMRTN
11784
414k
    0U, // CPYMRTRN
11785
414k
    0U, // CPYMRTWN
11786
414k
    0U, // CPYMT
11787
414k
    0U, // CPYMTN
11788
414k
    0U, // CPYMTRN
11789
414k
    0U, // CPYMTWN
11790
414k
    0U, // CPYMWN
11791
414k
    0U, // CPYMWT
11792
414k
    0U, // CPYMWTN
11793
414k
    0U, // CPYMWTRN
11794
414k
    0U, // CPYMWTWN
11795
414k
    0U, // CPYP
11796
414k
    0U, // CPYPN
11797
414k
    0U, // CPYPRN
11798
414k
    0U, // CPYPRT
11799
414k
    0U, // CPYPRTN
11800
414k
    0U, // CPYPRTRN
11801
414k
    0U, // CPYPRTWN
11802
414k
    0U, // CPYPT
11803
414k
    0U, // CPYPTN
11804
414k
    0U, // CPYPTRN
11805
414k
    0U, // CPYPTWN
11806
414k
    0U, // CPYPWN
11807
414k
    0U, // CPYPWT
11808
414k
    0U, // CPYPWTN
11809
414k
    0U, // CPYPWTRN
11810
414k
    0U, // CPYPWTWN
11811
414k
    352U, // CPY_ZPmI_B
11812
414k
    360U, // CPY_ZPmI_D
11813
414k
    2U, // CPY_ZPmI_H
11814
414k
    368U, // CPY_ZPmI_S
11815
414k
    376U, // CPY_ZPmR_B
11816
414k
    376U, // CPY_ZPmR_D
11817
414k
    2U, // CPY_ZPmR_H
11818
414k
    376U, // CPY_ZPmR_S
11819
414k
    376U, // CPY_ZPmV_B
11820
414k
    376U, // CPY_ZPmV_D
11821
414k
    2U, // CPY_ZPmV_H
11822
414k
    376U, // CPY_ZPmV_S
11823
414k
    42200U, // CPY_ZPzI_B
11824
414k
    43224U, // CPY_ZPzI_D
11825
414k
    385U, // CPY_ZPzI_H
11826
414k
    44248U, // CPY_ZPzI_S
11827
414k
    3160U,  // CRC32Brr
11828
414k
    3160U,  // CRC32CBrr
11829
414k
    3160U,  // CRC32CHrr
11830
414k
    3160U,  // CRC32CWrr
11831
414k
    3160U,  // CRC32CXrr
11832
414k
    3160U,  // CRC32Hrr
11833
414k
    3160U,  // CRC32Wrr
11834
414k
    3160U,  // CRC32Xrr
11835
414k
    167906392U, // CSELWr
11836
414k
    167906392U, // CSELXr
11837
414k
    167906392U, // CSINCWr
11838
414k
    167906392U, // CSINCXr
11839
414k
    167906392U, // CSINVWr
11840
414k
    167906392U, // CSINVXr
11841
414k
    167906392U, // CSNEGWr
11842
414k
    167906392U, // CSNEGXr
11843
414k
    0U, // CTERMEQ_WW
11844
414k
    0U, // CTERMEQ_XX
11845
414k
    0U, // CTERMNE_WW
11846
414k
    0U, // CTERMNE_XX
11847
414k
    0U, // CTZWr
11848
414k
    0U, // CTZXr
11849
414k
    0U, // DCPS1
11850
414k
    0U, // DCPS2
11851
414k
    0U, // DCPS3
11852
414k
    2U, // DECB_XPiI
11853
414k
    2U, // DECD_XPiI
11854
414k
    2U, // DECD_ZPiI
11855
414k
    2U, // DECH_XPiI
11856
414k
    0U, // DECH_ZPiI
11857
414k
    1U, // DECP_XP_B
11858
414k
    0U, // DECP_XP_D
11859
414k
    0U, // DECP_XP_H
11860
414k
    1U, // DECP_XP_S
11861
414k
    0U, // DECP_ZP_D
11862
414k
    0U, // DECP_ZP_H
11863
414k
    0U, // DECP_ZP_S
11864
414k
    2U, // DECW_XPiI
11865
414k
    2U, // DECW_ZPiI
11866
414k
    0U, // DMB
11867
414k
    0U, // DRPS
11868
414k
    0U, // DSB
11869
414k
    0U, // DSBnXS
11870
414k
    2U, // DUPM_ZI
11871
414k
    393U, // DUPQ_ZZI_B
11872
414k
    392U, // DUPQ_ZZI_D
11873
414k
    2U, // DUPQ_ZZI_H
11874
414k
    393U, // DUPQ_ZZI_S
11875
414k
    2U, // DUP_ZI_B
11876
414k
    2U, // DUP_ZI_D
11877
414k
    0U, // DUP_ZI_H
11878
414k
    2U, // DUP_ZI_S
11879
414k
    0U, // DUP_ZR_B
11880
414k
    0U, // DUP_ZR_D
11881
414k
    0U, // DUP_ZR_H
11882
414k
    0U, // DUP_ZR_S
11883
414k
    393U, // DUP_ZZI_B
11884
414k
    392U, // DUP_ZZI_D
11885
414k
    2U, // DUP_ZZI_H
11886
414k
    2U, // DUP_ZZI_Q
11887
414k
    393U, // DUP_ZZI_S
11888
414k
    45456U, // DUPi16
11889
414k
    45464U, // DUPi32
11890
414k
    45472U, // DUPi64
11891
414k
    45480U, // DUPi8
11892
414k
    0U, // DUPv16i8gpr
11893
414k
    45480U, // DUPv16i8lane
11894
414k
    0U, // DUPv2i32gpr
11895
414k
    45464U, // DUPv2i32lane
11896
414k
    0U, // DUPv2i64gpr
11897
414k
    45472U, // DUPv2i64lane
11898
414k
    0U, // DUPv4i16gpr
11899
414k
    45456U, // DUPv4i16lane
11900
414k
    0U, // DUPv4i32gpr
11901
414k
    45464U, // DUPv4i32lane
11902
414k
    0U, // DUPv8i16gpr
11903
414k
    45456U, // DUPv8i16lane
11904
414k
    0U, // DUPv8i8gpr
11905
414k
    45480U, // DUPv8i8lane
11906
414k
    14424U, // EONWrs
11907
414k
    14424U, // EONXrs
11908
414k
    86253712U,  // EOR3
11909
414k
    33691736U,  // EOR3_ZZZZ
11910
414k
    9U, // EORBT_ZZZ_B
11911
414k
    1112U,  // EORBT_ZZZ_D
11912
414k
    240U, // EORBT_ZZZ_H
11913
414k
    2136U,  // EORBT_ZZZ_S
11914
414k
    10328U, // EORQV_VPZ_B
11915
414k
    6232U,  // EORQV_VPZ_D
11916
414k
    5208U,  // EORQV_VPZ_H
11917
414k
    12376U, // EORQV_VPZ_S
11918
414k
    16918744U,  // EORS_PPzPP
11919
414k
    9U, // EORTB_ZZZ_B
11920
414k
    1112U,  // EORTB_ZZZ_D
11921
414k
    240U, // EORTB_ZZZ_H
11922
414k
    2136U,  // EORTB_ZZZ_S
11923
414k
    0U, // EORV_VPZ_B
11924
414k
    0U, // EORV_VPZ_D
11925
414k
    0U, // EORV_VPZ_H
11926
414k
    0U, // EORV_VPZ_S
11927
414k
    35928U, // EORWri
11928
414k
    14424U, // EORWrs
11929
414k
    36952U, // EORXri
11930
414k
    14424U, // EORXrs
11931
414k
    16918744U,  // EOR_PPzPP
11932
414k
    36952U, // EOR_ZI
11933
414k
    16918656U,  // EOR_ZPmZ_B
11934
414k
    33691776U,  // EOR_ZPmZ_D
11935
414k
    51129480U,  // EOR_ZPmZ_H
11936
414k
    67252352U,  // EOR_ZPmZ_S
11937
414k
    6232U,  // EOR_ZZZ
11938
414k
    925840U,  // EORv16i8
11939
414k
    1319080U, // EORv8i8
11940
414k
    0U, // ERET
11941
414k
    0U, // ERETAA
11942
414k
    0U, // ERETAB
11943
414k
    141401U,  // EXTQ_ZZI
11944
414k
    432U, // EXTRACT_ZPMXI_H_B
11945
414k
    432U, // EXTRACT_ZPMXI_H_D
11946
414k
    2U, // EXTRACT_ZPMXI_H_H
11947
414k
    2U, // EXTRACT_ZPMXI_H_Q
11948
414k
    432U, // EXTRACT_ZPMXI_H_S
11949
414k
    440U, // EXTRACT_ZPMXI_V_B
11950
414k
    440U, // EXTRACT_ZPMXI_V_D
11951
414k
    2U, // EXTRACT_ZPMXI_V_H
11952
414k
    2U, // EXTRACT_ZPMXI_V_Q
11953
414k
    440U, // EXTRACT_ZPMXI_V_S
11954
414k
    134232U,  // EXTRWrri
11955
414k
    134232U,  // EXTRXrri
11956
414k
    251799641U, // EXT_ZZI
11957
414k
    450U, // EXT_ZZI_B
11958
414k
    2367632U, // EXTv16i8
11959
414k
    3809448U, // EXTv8i8
11960
414k
    32U,  // F1CVTL2v8f16
11961
414k
    0U, // F1CVTLT_ZZ_BtoH
11962
414k
    0U, // F1CVTL_2ZZ_BtoH_NAME
11963
414k
    80U,  // F1CVTLv8f16
11964
414k
    0U, // F1CVT_2ZZ_BtoH_NAME
11965
414k
    0U, // F1CVT_ZZ_BtoH
11966
414k
    32U,  // F2CVTL2v8f16
11967
414k
    0U, // F2CVTLT_ZZ_BtoH
11968
414k
    0U, // F2CVTL_2ZZ_BtoH_NAME
11969
414k
    80U,  // F2CVTLv8f16
11970
414k
    0U, // F2CVT_2ZZ_BtoH_NAME
11971
414k
    0U, // F2CVT_ZZ_BtoH
11972
414k
    3160U,  // FABD16
11973
414k
    3160U,  // FABD32
11974
414k
    3160U,  // FABD64
11975
414k
    33691776U,  // FABD_ZPmZ_D
11976
414k
    51129480U,  // FABD_ZPmZ_H
11977
414k
    67252352U,  // FABD_ZPmZ_S
11978
414k
    1056920U, // FABDv2f32
11979
414k
    270440U,  // FABDv2f64
11980
414k
    1188000U, // FABDv4f16
11981
414k
    401520U,  // FABDv4f32
11982
414k
    532600U,  // FABDv8f16
11983
414k
    0U, // FABSDr
11984
414k
    0U, // FABSHr
11985
414k
    0U, // FABSSr
11986
414k
    16U,  // FABS_ZPmZ_D
11987
414k
    0U, // FABS_ZPmZ_H
11988
414k
    24U,  // FABS_ZPmZ_S
11989
414k
    40U,  // FABSv2f32
11990
414k
    48U,  // FABSv2f64
11991
414k
    56U,  // FABSv4f16
11992
414k
    64U,  // FABSv4f32
11993
414k
    72U,  // FABSv8f16
11994
414k
    3160U,  // FACGE16
11995
414k
    3160U,  // FACGE32
11996
414k
    3160U,  // FACGE64
11997
414k
    33691864U,  // FACGE_PPzZZ_D
11998
414k
    51129481U,  // FACGE_PPzZZ_H
11999
414k
    67252440U,  // FACGE_PPzZZ_S
12000
414k
    1056920U, // FACGEv2f32
12001
414k
    270440U,  // FACGEv2f64
12002
414k
    1188000U, // FACGEv4f16
12003
414k
    401520U,  // FACGEv4f32
12004
414k
    532600U,  // FACGEv8f16
12005
414k
    3160U,  // FACGT16
12006
414k
    3160U,  // FACGT32
12007
414k
    3160U,  // FACGT64
12008
414k
    33691864U,  // FACGT_PPzZZ_D
12009
414k
    51129481U,  // FACGT_PPzZZ_H
12010
414k
    67252440U,  // FACGT_PPzZZ_S
12011
414k
    1056920U, // FACGTv2f32
12012
414k
    270440U,  // FACGTv2f64
12013
414k
    1188000U, // FACGTv4f16
12014
414k
    401520U,  // FACGTv4f32
12015
414k
    532600U,  // FACGTv8f16
12016
414k
    0U, // FADDA_VPZ_D
12017
414k
    240U, // FADDA_VPZ_H
12018
414k
    0U, // FADDA_VPZ_S
12019
414k
    3160U,  // FADDDrr
12020
414k
    3160U,  // FADDHrr
12021
414k
    33691776U,  // FADDP_ZPmZZ_D
12022
414k
    51129480U,  // FADDP_ZPmZZ_H
12023
414k
    67252352U,  // FADDP_ZPmZZ_S
12024
414k
    1056920U, // FADDPv2f32
12025
414k
    270440U,  // FADDPv2f64
12026
414k
    456U, // FADDPv2i16p
12027
414k
    40U,  // FADDPv2i32p
12028
414k
    48U,  // FADDPv2i64p
12029
414k
    1188000U, // FADDPv4f16
12030
414k
    401520U,  // FADDPv4f32
12031
414k
    532600U,  // FADDPv8f16
12032
414k
    6232U,  // FADDQV_D
12033
414k
    5208U,  // FADDQV_H
12034
414k
    12376U, // FADDQV_S
12035
414k
    3160U,  // FADDSrr
12036
414k
    0U, // FADDV_VPZ_D
12037
414k
    0U, // FADDV_VPZ_H
12038
414k
    0U, // FADDV_VPZ_S
12039
414k
    192U, // FADD_VG2_M2Z_D
12040
414k
    232U, // FADD_VG2_M2Z_H
12041
414k
    200U, // FADD_VG2_M2Z_S
12042
414k
    192U, // FADD_VG4_M4Z_D
12043
414k
    232U, // FADD_VG4_M4Z_H
12044
414k
    200U, // FADD_VG4_M4Z_S
12045
414k
    268572800U, // FADD_ZPmI_D
12046
414k
    3943560U, // FADD_ZPmI_H
12047
414k
    268578944U, // FADD_ZPmI_S
12048
414k
    33691776U,  // FADD_ZPmZ_D
12049
414k
    51129480U,  // FADD_ZPmZ_H
12050
414k
    67252352U,  // FADD_ZPmZ_S
12051
414k
    6232U,  // FADD_ZZZ_D
12052
414k
    136U, // FADD_ZZZ_H
12053
414k
    12377U, // FADD_ZZZ_S
12054
414k
    1056920U, // FADDv2f32
12055
414k
    270440U,  // FADDv2f64
12056
414k
    1188000U, // FADDv4f16
12057
414k
    401520U,  // FADDv4f32
12058
414k
    532600U,  // FADDv8f16
12059
414k
    464U, // FAMAX_2Z2Z_D
12060
414k
    248U, // FAMAX_2Z2Z_H
12061
414k
    472U, // FAMAX_2Z2Z_S
12062
414k
    464U, // FAMAX_4Z4Z_D
12063
414k
    248U, // FAMAX_4Z4Z_H
12064
414k
    472U, // FAMAX_4Z4Z_S
12065
414k
    33691776U,  // FAMAX_ZPmZ_D
12066
414k
    51129480U,  // FAMAX_ZPmZ_H
12067
414k
    67252352U,  // FAMAX_ZPmZ_S
12068
414k
    1056920U, // FAMAXv2f32
12069
414k
    270440U,  // FAMAXv2f64
12070
414k
    1188000U, // FAMAXv4f16
12071
414k
    401520U,  // FAMAXv4f32
12072
414k
    532600U,  // FAMAXv8f16
12073
414k
    464U, // FAMIN_2Z2Z_D
12074
414k
    248U, // FAMIN_2Z2Z_H
12075
414k
    472U, // FAMIN_2Z2Z_S
12076
414k
    464U, // FAMIN_4Z4Z_D
12077
414k
    248U, // FAMIN_4Z4Z_H
12078
414k
    472U, // FAMIN_4Z4Z_S
12079
414k
    33691776U,  // FAMIN_ZPmZ_D
12080
414k
    51129480U,  // FAMIN_ZPmZ_H
12081
414k
    67252352U,  // FAMIN_ZPmZ_S
12082
414k
    1056920U, // FAMINv2f32
12083
414k
    270440U,  // FAMINv2f64
12084
414k
    1188000U, // FAMINv4f16
12085
414k
    401520U,  // FAMINv4f32
12086
414k
    532600U,  // FAMINv8f16
12087
414k
    33691776U,  // FCADD_ZPmZ_D
12088
414k
    185347208U, // FCADD_ZPmZ_H
12089
414k
    67252352U,  // FCADD_ZPmZ_S
12090
414k
    155066520U, // FCADDv2f32
12091
414k
    155197544U, // FCADDv2f64
12092
414k
    155328672U, // FCADDv4f16
12093
414k
    155459696U, // FCADDv4f32
12094
414k
    155590776U, // FCADDv8f16
12095
414k
    167906392U, // FCCMPDrr
12096
414k
    167906392U, // FCCMPEDrr
12097
414k
    167906392U, // FCCMPEHrr
12098
414k
    167906392U, // FCCMPESrr
12099
414k
    167906392U, // FCCMPHrr
12100
414k
    167906392U, // FCCMPSrr
12101
414k
    16U,  // FCLAMP_VG2_2Z2Z_D
12102
414k
    240U, // FCLAMP_VG2_2Z2Z_H
12103
414k
    24U,  // FCLAMP_VG2_2Z2Z_S
12104
414k
    16U,  // FCLAMP_VG4_4Z4Z_D
12105
414k
    240U, // FCLAMP_VG4_4Z4Z_H
12106
414k
    24U,  // FCLAMP_VG4_4Z4Z_S
12107
414k
    1112U,  // FCLAMP_ZZZ_D
12108
414k
    240U, // FCLAMP_ZZZ_H
12109
414k
    2136U,  // FCLAMP_ZZZ_S
12110
414k
    3160U,  // FCMEQ16
12111
414k
    3160U,  // FCMEQ32
12112
414k
    3160U,  // FCMEQ64
12113
414k
    4724952U, // FCMEQ_PPzZ0_D
12114
414k
    46217U, // FCMEQ_PPzZ0_H
12115
414k
    4731096U, // FCMEQ_PPzZ0_S
12116
414k
    33691864U,  // FCMEQ_PPzZZ_D
12117
414k
    51129481U,  // FCMEQ_PPzZZ_H
12118
414k
    67252440U,  // FCMEQ_PPzZZ_S
12119
414k
    480U, // FCMEQv1i16rz
12120
414k
    480U, // FCMEQv1i32rz
12121
414k
    480U, // FCMEQv1i64rz
12122
414k
    1056920U, // FCMEQv2f32
12123
414k
    270440U,  // FCMEQv2f64
12124
414k
    488U, // FCMEQv2i32rz
12125
414k
    496U, // FCMEQv2i64rz
12126
414k
    1188000U, // FCMEQv4f16
12127
414k
    401520U,  // FCMEQv4f32
12128
414k
    504U, // FCMEQv4i16rz
12129
414k
    512U, // FCMEQv4i32rz
12130
414k
    532600U,  // FCMEQv8f16
12131
414k
    520U, // FCMEQv8i16rz
12132
414k
    3160U,  // FCMGE16
12133
414k
    3160U,  // FCMGE32
12134
414k
    3160U,  // FCMGE64
12135
414k
    4724952U, // FCMGE_PPzZ0_D
12136
414k
    46217U, // FCMGE_PPzZ0_H
12137
414k
    4731096U, // FCMGE_PPzZ0_S
12138
414k
    33691864U,  // FCMGE_PPzZZ_D
12139
414k
    51129481U,  // FCMGE_PPzZZ_H
12140
414k
    67252440U,  // FCMGE_PPzZZ_S
12141
414k
    480U, // FCMGEv1i16rz
12142
414k
    480U, // FCMGEv1i32rz
12143
414k
    480U, // FCMGEv1i64rz
12144
414k
    1056920U, // FCMGEv2f32
12145
414k
    270440U,  // FCMGEv2f64
12146
414k
    488U, // FCMGEv2i32rz
12147
414k
    496U, // FCMGEv2i64rz
12148
414k
    1188000U, // FCMGEv4f16
12149
414k
    401520U,  // FCMGEv4f32
12150
414k
    504U, // FCMGEv4i16rz
12151
414k
    512U, // FCMGEv4i32rz
12152
414k
    532600U,  // FCMGEv8f16
12153
414k
    520U, // FCMGEv8i16rz
12154
414k
    3160U,  // FCMGT16
12155
414k
    3160U,  // FCMGT32
12156
414k
    3160U,  // FCMGT64
12157
414k
    4724952U, // FCMGT_PPzZ0_D
12158
414k
    46217U, // FCMGT_PPzZ0_H
12159
414k
    4731096U, // FCMGT_PPzZ0_S
12160
414k
    33691864U,  // FCMGT_PPzZZ_D
12161
414k
    51129481U,  // FCMGT_PPzZZ_H
12162
414k
    67252440U,  // FCMGT_PPzZZ_S
12163
414k
    480U, // FCMGTv1i16rz
12164
414k
    480U, // FCMGTv1i32rz
12165
414k
    480U, // FCMGTv1i64rz
12166
414k
    1056920U, // FCMGTv2f32
12167
414k
    270440U,  // FCMGTv2f64
12168
414k
    488U, // FCMGTv2i32rz
12169
414k
    496U, // FCMGTv2i64rz
12170
414k
    1188000U, // FCMGTv4f16
12171
414k
    401520U,  // FCMGTv4f32
12172
414k
    504U, // FCMGTv4i16rz
12173
414k
    512U, // FCMGTv4i32rz
12174
414k
    532600U,  // FCMGTv8f16
12175
414k
    520U, // FCMGTv8i16rz
12176
414k
    285344896U, // FCMLA_ZPmZZ_D
12177
414k
    187706608U, // FCMLA_ZPmZZ_H
12178
414k
    302123136U, // FCMLA_ZPmZZ_S
12179
414k
    201496816U, // FCMLA_ZZZI_H
12180
414k
    187435096U, // FCMLA_ZZZI_S
12181
414k
    222176408U, // FCMLAv2f32
12182
414k
    222307432U, // FCMLAv2f64
12183
414k
    222438560U, // FCMLAv4f16
12184
414k
    120464544U, // FCMLAv4f16_indexed
12185
414k
    222569584U, // FCMLAv4f32
12186
414k
    122299504U, // FCMLAv4f32_indexed
12187
414k
    222700664U, // FCMLAv8f16
12188
414k
    120464504U, // FCMLAv8f16_indexed
12189
414k
    4724952U, // FCMLE_PPzZ0_D
12190
414k
    46217U, // FCMLE_PPzZ0_H
12191
414k
    4731096U, // FCMLE_PPzZ0_S
12192
414k
    480U, // FCMLEv1i16rz
12193
414k
    480U, // FCMLEv1i32rz
12194
414k
    480U, // FCMLEv1i64rz
12195
414k
    488U, // FCMLEv2i32rz
12196
414k
    496U, // FCMLEv2i64rz
12197
414k
    504U, // FCMLEv4i16rz
12198
414k
    512U, // FCMLEv4i32rz
12199
414k
    520U, // FCMLEv8i16rz
12200
414k
    4724952U, // FCMLT_PPzZ0_D
12201
414k
    46217U, // FCMLT_PPzZ0_H
12202
414k
    4731096U, // FCMLT_PPzZ0_S
12203
414k
    480U, // FCMLTv1i16rz
12204
414k
    480U, // FCMLTv1i32rz
12205
414k
    480U, // FCMLTv1i64rz
12206
414k
    488U, // FCMLTv2i32rz
12207
414k
    496U, // FCMLTv2i64rz
12208
414k
    504U, // FCMLTv4i16rz
12209
414k
    512U, // FCMLTv4i32rz
12210
414k
    520U, // FCMLTv8i16rz
12211
414k
    4724952U, // FCMNE_PPzZ0_D
12212
414k
    46217U, // FCMNE_PPzZ0_H
12213
414k
    4731096U, // FCMNE_PPzZ0_S
12214
414k
    33691864U,  // FCMNE_PPzZZ_D
12215
414k
    51129481U,  // FCMNE_PPzZZ_H
12216
414k
    67252440U,  // FCMNE_PPzZZ_S
12217
414k
    0U, // FCMPDri
12218
414k
    0U, // FCMPDrr
12219
414k
    0U, // FCMPEDri
12220
414k
    0U, // FCMPEDrr
12221
414k
    0U, // FCMPEHri
12222
414k
    0U, // FCMPEHrr
12223
414k
    0U, // FCMPESri
12224
414k
    0U, // FCMPESrr
12225
414k
    0U, // FCMPHri
12226
414k
    0U, // FCMPHrr
12227
414k
    0U, // FCMPSri
12228
414k
    0U, // FCMPSrr
12229
414k
    33691864U,  // FCMUO_PPzZZ_D
12230
414k
    51129481U,  // FCMUO_PPzZZ_H
12231
414k
    67252440U,  // FCMUO_PPzZZ_S
12232
414k
    528U, // FCPY_ZPmI_D
12233
414k
    2U, // FCPY_ZPmI_H
12234
414k
    528U, // FCPY_ZPmI_S
12235
414k
    167906392U, // FCSELDrrr
12236
414k
    167906392U, // FCSELHrrr
12237
414k
    167906392U, // FCSELSrrr
12238
414k
    0U, // FCVTASUWDr
12239
414k
    0U, // FCVTASUWHr
12240
414k
    0U, // FCVTASUWSr
12241
414k
    0U, // FCVTASUXDr
12242
414k
    0U, // FCVTASUXHr
12243
414k
    0U, // FCVTASUXSr
12244
414k
    0U, // FCVTASv1f16
12245
414k
    0U, // FCVTASv1i32
12246
414k
    0U, // FCVTASv1i64
12247
414k
    40U,  // FCVTASv2f32
12248
414k
    48U,  // FCVTASv2f64
12249
414k
    56U,  // FCVTASv4f16
12250
414k
    64U,  // FCVTASv4f32
12251
414k
    72U,  // FCVTASv8f16
12252
414k
    0U, // FCVTAUUWDr
12253
414k
    0U, // FCVTAUUWHr
12254
414k
    0U, // FCVTAUUWSr
12255
414k
    0U, // FCVTAUUXDr
12256
414k
    0U, // FCVTAUUXHr
12257
414k
    0U, // FCVTAUUXSr
12258
414k
    0U, // FCVTAUv1f16
12259
414k
    0U, // FCVTAUv1i32
12260
414k
    0U, // FCVTAUv1i64
12261
414k
    40U,  // FCVTAUv2f32
12262
414k
    48U,  // FCVTAUv2f64
12263
414k
    56U,  // FCVTAUv4f16
12264
414k
    64U,  // FCVTAUv4f32
12265
414k
    72U,  // FCVTAUv8f16
12266
414k
    0U, // FCVTDHr
12267
414k
    0U, // FCVTDSr
12268
414k
    0U, // FCVTHDr
12269
414k
    0U, // FCVTHSr
12270
414k
    240U, // FCVTLT_ZPmZ_HtoS
12271
414k
    24U,  // FCVTLT_ZPmZ_StoD
12272
414k
    0U, // FCVTL_2ZZ_H_S
12273
414k
    40U,  // FCVTLv2i32
12274
414k
    56U,  // FCVTLv4i16
12275
414k
    64U,  // FCVTLv4i32
12276
414k
    72U,  // FCVTLv8i16
12277
414k
    0U, // FCVTMSUWDr
12278
414k
    0U, // FCVTMSUWHr
12279
414k
    0U, // FCVTMSUWSr
12280
414k
    0U, // FCVTMSUXDr
12281
414k
    0U, // FCVTMSUXHr
12282
414k
    0U, // FCVTMSUXSr
12283
414k
    0U, // FCVTMSv1f16
12284
414k
    0U, // FCVTMSv1i32
12285
414k
    0U, // FCVTMSv1i64
12286
414k
    40U,  // FCVTMSv2f32
12287
414k
    48U,  // FCVTMSv2f64
12288
414k
    56U,  // FCVTMSv4f16
12289
414k
    64U,  // FCVTMSv4f32
12290
414k
    72U,  // FCVTMSv8f16
12291
414k
    0U, // FCVTMUUWDr
12292
414k
    0U, // FCVTMUUWHr
12293
414k
    0U, // FCVTMUUWSr
12294
414k
    0U, // FCVTMUUXDr
12295
414k
    0U, // FCVTMUUXHr
12296
414k
    0U, // FCVTMUUXSr
12297
414k
    0U, // FCVTMUv1f16
12298
414k
    0U, // FCVTMUv1i32
12299
414k
    0U, // FCVTMUv1i64
12300
414k
    40U,  // FCVTMUv2f32
12301
414k
    48U,  // FCVTMUv2f64
12302
414k
    56U,  // FCVTMUv4f16
12303
414k
    64U,  // FCVTMUv4f32
12304
414k
    72U,  // FCVTMUv8f16
12305
414k
    2U, // FCVTNB_Z2Z_StoB
12306
414k
    0U, // FCVTNSUWDr
12307
414k
    0U, // FCVTNSUWHr
12308
414k
    0U, // FCVTNSUWSr
12309
414k
    0U, // FCVTNSUXDr
12310
414k
    0U, // FCVTNSUXHr
12311
414k
    0U, // FCVTNSUXSr
12312
414k
    0U, // FCVTNSv1f16
12313
414k
    0U, // FCVTNSv1i32
12314
414k
    0U, // FCVTNSv1i64
12315
414k
    40U,  // FCVTNSv2f32
12316
414k
    48U,  // FCVTNSv2f64
12317
414k
    56U,  // FCVTNSv4f16
12318
414k
    64U,  // FCVTNSv4f32
12319
414k
    72U,  // FCVTNSv8f16
12320
414k
    2U, // FCVTNT_Z2Z_StoB
12321
414k
    16U,  // FCVTNT_ZPmZ_DtoS
12322
414k
    1U, // FCVTNT_ZPmZ_StoH
12323
414k
    0U, // FCVTNUUWDr
12324
414k
    0U, // FCVTNUUWHr
12325
414k
    0U, // FCVTNUUWSr
12326
414k
    0U, // FCVTNUUXDr
12327
414k
    0U, // FCVTNUUXHr
12328
414k
    0U, // FCVTNUUXSr
12329
414k
    0U, // FCVTNUv1f16
12330
414k
    0U, // FCVTNUv1i32
12331
414k
    0U, // FCVTNUv1i64
12332
414k
    40U,  // FCVTNUv2f32
12333
414k
    48U,  // FCVTNUv2f64
12334
414k
    56U,  // FCVTNUv4f16
12335
414k
    64U,  // FCVTNUv4f32
12336
414k
    72U,  // FCVTNUv8f16
12337
414k
    532600U,  // FCVTN_F16_F8v16f8
12338
414k
    1188000U, // FCVTN_F16_F8v8f8
12339
414k
    402544U,  // FCVTN_F32_F82v16f8
12340
414k
    401520U,  // FCVTN_F32_F8v8f8
12341
414k
    1U, // FCVTN_Z2Z_HtoB
12342
414k
    0U, // FCVTN_Z2Z_StoH
12343
414k
    2U, // FCVTN_Z4Z_StoB_NAME
12344
414k
    48U,  // FCVTNv2i32
12345
414k
    64U,  // FCVTNv4i16
12346
414k
    48U,  // FCVTNv4i32
12347
414k
    64U,  // FCVTNv8i16
12348
414k
    0U, // FCVTPSUWDr
12349
414k
    0U, // FCVTPSUWHr
12350
414k
    0U, // FCVTPSUWSr
12351
414k
    0U, // FCVTPSUXDr
12352
414k
    0U, // FCVTPSUXHr
12353
414k
    0U, // FCVTPSUXSr
12354
414k
    0U, // FCVTPSv1f16
12355
414k
    0U, // FCVTPSv1i32
12356
414k
    0U, // FCVTPSv1i64
12357
414k
    40U,  // FCVTPSv2f32
12358
414k
    48U,  // FCVTPSv2f64
12359
414k
    56U,  // FCVTPSv4f16
12360
414k
    64U,  // FCVTPSv4f32
12361
414k
    72U,  // FCVTPSv8f16
12362
414k
    0U, // FCVTPUUWDr
12363
414k
    0U, // FCVTPUUWHr
12364
414k
    0U, // FCVTPUUWSr
12365
414k
    0U, // FCVTPUUXDr
12366
414k
    0U, // FCVTPUUXHr
12367
414k
    0U, // FCVTPUUXSr
12368
414k
    0U, // FCVTPUv1f16
12369
414k
    0U, // FCVTPUv1i32
12370
414k
    0U, // FCVTPUv1i64
12371
414k
    40U,  // FCVTPUv2f32
12372
414k
    48U,  // FCVTPUv2f64
12373
414k
    56U,  // FCVTPUv4f16
12374
414k
    64U,  // FCVTPUv4f32
12375
414k
    72U,  // FCVTPUv8f16
12376
414k
    0U, // FCVTSDr
12377
414k
    0U, // FCVTSHr
12378
414k
    16U,  // FCVTXNT_ZPmZ_DtoS
12379
414k
    0U, // FCVTXNv1i64
12380
414k
    48U,  // FCVTXNv2f32
12381
414k
    48U,  // FCVTXNv4f32
12382
414k
    16U,  // FCVTX_ZPmZ_DtoS
12383
414k
    3160U,  // FCVTZSSWDri
12384
414k
    3160U,  // FCVTZSSWHri
12385
414k
    3160U,  // FCVTZSSWSri
12386
414k
    3160U,  // FCVTZSSXDri
12387
414k
    3160U,  // FCVTZSSXHri
12388
414k
    3160U,  // FCVTZSSXSri
12389
414k
    0U, // FCVTZSUWDr
12390
414k
    0U, // FCVTZSUWHr
12391
414k
    0U, // FCVTZSUWSr
12392
414k
    0U, // FCVTZSUXDr
12393
414k
    0U, // FCVTZSUXHr
12394
414k
    0U, // FCVTZSUXSr
12395
414k
    0U, // FCVTZS_2Z2Z_StoS
12396
414k
    0U, // FCVTZS_4Z4Z_StoS
12397
414k
    16U,  // FCVTZS_ZPmZ_DtoD
12398
414k
    16U,  // FCVTZS_ZPmZ_DtoS
12399
414k
    240U, // FCVTZS_ZPmZ_HtoD
12400
414k
    0U, // FCVTZS_ZPmZ_HtoH
12401
414k
    240U, // FCVTZS_ZPmZ_HtoS
12402
414k
    24U,  // FCVTZS_ZPmZ_StoD
12403
414k
    24U,  // FCVTZS_ZPmZ_StoS
12404
414k
    3160U,  // FCVTZSd
12405
414k
    3160U,  // FCVTZSh
12406
414k
    3160U,  // FCVTZSs
12407
414k
    0U, // FCVTZSv1f16
12408
414k
    0U, // FCVTZSv1i32
12409
414k
    0U, // FCVTZSv1i64
12410
414k
    40U,  // FCVTZSv2f32
12411
414k
    48U,  // FCVTZSv2f64
12412
414k
    3224U,  // FCVTZSv2i32_shift
12413
414k
    3176U,  // FCVTZSv2i64_shift
12414
414k
    56U,  // FCVTZSv4f16
12415
414k
    64U,  // FCVTZSv4f32
12416
414k
    3232U,  // FCVTZSv4i16_shift
12417
414k
    3184U,  // FCVTZSv4i32_shift
12418
414k
    72U,  // FCVTZSv8f16
12419
414k
    3192U,  // FCVTZSv8i16_shift
12420
414k
    3160U,  // FCVTZUSWDri
12421
414k
    3160U,  // FCVTZUSWHri
12422
414k
    3160U,  // FCVTZUSWSri
12423
414k
    3160U,  // FCVTZUSXDri
12424
414k
    3160U,  // FCVTZUSXHri
12425
414k
    3160U,  // FCVTZUSXSri
12426
414k
    0U, // FCVTZUUWDr
12427
414k
    0U, // FCVTZUUWHr
12428
414k
    0U, // FCVTZUUWSr
12429
414k
    0U, // FCVTZUUXDr
12430
414k
    0U, // FCVTZUUXHr
12431
414k
    0U, // FCVTZUUXSr
12432
414k
    0U, // FCVTZU_2Z2Z_StoS
12433
414k
    0U, // FCVTZU_4Z4Z_StoS
12434
414k
    16U,  // FCVTZU_ZPmZ_DtoD
12435
414k
    16U,  // FCVTZU_ZPmZ_DtoS
12436
414k
    240U, // FCVTZU_ZPmZ_HtoD
12437
414k
    0U, // FCVTZU_ZPmZ_HtoH
12438
414k
    240U, // FCVTZU_ZPmZ_HtoS
12439
414k
    24U,  // FCVTZU_ZPmZ_StoD
12440
414k
    24U,  // FCVTZU_ZPmZ_StoS
12441
414k
    3160U,  // FCVTZUd
12442
414k
    3160U,  // FCVTZUh
12443
414k
    3160U,  // FCVTZUs
12444
414k
    0U, // FCVTZUv1f16
12445
414k
    0U, // FCVTZUv1i32
12446
414k
    0U, // FCVTZUv1i64
12447
414k
    40U,  // FCVTZUv2f32
12448
414k
    48U,  // FCVTZUv2f64
12449
414k
    3224U,  // FCVTZUv2i32_shift
12450
414k
    3176U,  // FCVTZUv2i64_shift
12451
414k
    56U,  // FCVTZUv4f16
12452
414k
    64U,  // FCVTZUv4f32
12453
414k
    3232U,  // FCVTZUv4i16_shift
12454
414k
    3184U,  // FCVTZUv4i32_shift
12455
414k
    72U,  // FCVTZUv8f16
12456
414k
    3192U,  // FCVTZUv8i16_shift
12457
414k
    0U, // FCVT_2ZZ_H_S
12458
414k
    1U, // FCVT_Z2Z_HtoB
12459
414k
    0U, // FCVT_Z2Z_StoH
12460
414k
    2U, // FCVT_Z4Z_StoB_NAME
12461
414k
    2U, // FCVT_ZPmZ_DtoH
12462
414k
    16U,  // FCVT_ZPmZ_DtoS
12463
414k
    240U, // FCVT_ZPmZ_HtoD
12464
414k
    240U, // FCVT_ZPmZ_HtoS
12465
414k
    24U,  // FCVT_ZPmZ_StoD
12466
414k
    1U, // FCVT_ZPmZ_StoH
12467
414k
    3160U,  // FDIVDrr
12468
414k
    3160U,  // FDIVHrr
12469
414k
    33691776U,  // FDIVR_ZPmZ_D
12470
414k
    51129480U,  // FDIVR_ZPmZ_H
12471
414k
    67252352U,  // FDIVR_ZPmZ_S
12472
414k
    3160U,  // FDIVSrr
12473
414k
    33691776U,  // FDIV_ZPmZ_D
12474
414k
    51129480U,  // FDIV_ZPmZ_H
12475
414k
    67252352U,  // FDIV_ZPmZ_S
12476
414k
    1056920U, // FDIVv2f32
12477
414k
    270440U,  // FDIVv2f64
12478
414k
    1188000U, // FDIVv4f16
12479
414k
    401520U,  // FDIVv4f32
12480
414k
    532600U,  // FDIVv8f16
12481
414k
    47640U, // FDOT_VG2_M2Z2Z_BtoH
12482
414k
    47640U, // FDOT_VG2_M2Z2Z_BtoS
12483
414k
    2632936U, // FDOT_VG2_M2Z2Z_HtoS
12484
414k
    5029400U, // FDOT_VG2_M2ZZI_BtoH
12485
414k
    5029400U, // FDOT_VG2_M2ZZI_BtoS
12486
414k
    103427304U, // FDOT_VG2_M2ZZI_HtoS
12487
414k
    48664U, // FDOT_VG2_M2ZZ_BtoH
12488
414k
    48664U, // FDOT_VG2_M2ZZ_BtoS
12489
414k
    53095656U,  // FDOT_VG2_M2ZZ_HtoS
12490
414k
    47640U, // FDOT_VG4_M4Z4Z_BtoH
12491
414k
    47640U, // FDOT_VG4_M4Z4Z_BtoS
12492
414k
    2632936U, // FDOT_VG4_M4Z4Z_HtoS
12493
414k
    5029400U, // FDOT_VG4_M4ZZI_BtoH
12494
414k
    5029400U, // FDOT_VG4_M4ZZI_BtoS
12495
414k
    103427304U, // FDOT_VG4_M4ZZI_HtoS
12496
414k
    48664U, // FDOT_VG4_M4ZZ_BtoH
12497
414k
    48664U, // FDOT_VG4_M4ZZ_BtoS
12498
414k
    53095656U,  // FDOT_VG4_M4ZZ_HtoS
12499
414k
    38920U, // FDOT_ZZZI_BtoH
12500
414k
    38921U, // FDOT_ZZZI_BtoS
12501
414k
    53222488U,  // FDOT_ZZZI_S
12502
414k
    8U, // FDOT_ZZZ_BtoH
12503
414k
    9U, // FDOT_ZZZ_BtoS
12504
414k
    7256U,  // FDOT_ZZZ_S
12505
414k
    5121168U, // FDOTlanev16f8
12506
414k
    5252264U, // FDOTlanev4f16
12507
414k
    5252240U, // FDOTlanev8f16
12508
414k
    5121192U, // FDOTlanev8f8
12509
414k
    1320104U, // FDOTv2f32
12510
414k
    1320104U, // FDOTv4f16
12511
414k
    926864U,  // FDOTv4f32
12512
414k
    926864U,  // FDOTv8f16
12513
414k
    2U, // FDUP_ZI_D
12514
414k
    0U, // FDUP_ZI_H
12515
414k
    2U, // FDUP_ZI_S
12516
414k
    0U, // FEXPA_ZZ_D
12517
414k
    0U, // FEXPA_ZZ_H
12518
414k
    1U, // FEXPA_ZZ_S
12519
414k
    0U, // FJCVTZS
12520
414k
    16U,  // FLOGB_ZPmZ_D
12521
414k
    0U, // FLOGB_ZPmZ_H
12522
414k
    24U,  // FLOGB_ZPmZ_S
12523
414k
    134232U,  // FMADDDrrr
12524
414k
    134232U,  // FMADDHrrr
12525
414k
    134232U,  // FMADDSrrr
12526
414k
    285344896U, // FMAD_ZPmZZ_D
12527
414k
    53488880U,  // FMAD_ZPmZZ_H
12528
414k
    302123136U, // FMAD_ZPmZZ_S
12529
414k
    3160U,  // FMAXDrr
12530
414k
    3160U,  // FMAXHrr
12531
414k
    3160U,  // FMAXNMDrr
12532
414k
    3160U,  // FMAXNMHrr
12533
414k
    33691776U,  // FMAXNMP_ZPmZZ_D
12534
414k
    51129480U,  // FMAXNMP_ZPmZZ_H
12535
414k
    67252352U,  // FMAXNMP_ZPmZZ_S
12536
414k
    1056920U, // FMAXNMPv2f32
12537
414k
    270440U,  // FMAXNMPv2f64
12538
414k
    456U, // FMAXNMPv2i16p
12539
414k
    40U,  // FMAXNMPv2i32p
12540
414k
    48U,  // FMAXNMPv2i64p
12541
414k
    1188000U, // FMAXNMPv4f16
12542
414k
    401520U,  // FMAXNMPv4f32
12543
414k
    532600U,  // FMAXNMPv8f16
12544
414k
    6232U,  // FMAXNMQV_D
12545
414k
    5208U,  // FMAXNMQV_H
12546
414k
    12376U, // FMAXNMQV_S
12547
414k
    3160U,  // FMAXNMSrr
12548
414k
    0U, // FMAXNMV_VPZ_D
12549
414k
    0U, // FMAXNMV_VPZ_H
12550
414k
    0U, // FMAXNMV_VPZ_S
12551
414k
    56U,  // FMAXNMVv4i16v
12552
414k
    64U,  // FMAXNMVv4i32v
12553
414k
    72U,  // FMAXNMVv8i16v
12554
414k
    464U, // FMAXNM_VG2_2Z2Z_D
12555
414k
    248U, // FMAXNM_VG2_2Z2Z_H
12556
414k
    472U, // FMAXNM_VG2_2Z2Z_S
12557
414k
    184U, // FMAXNM_VG2_2ZZ_D
12558
414k
    136U, // FMAXNM_VG2_2ZZ_H
12559
414k
    96U,  // FMAXNM_VG2_2ZZ_S
12560
414k
    464U, // FMAXNM_VG4_4Z4Z_D
12561
414k
    248U, // FMAXNM_VG4_4Z4Z_H
12562
414k
    472U, // FMAXNM_VG4_4Z4Z_S
12563
414k
    184U, // FMAXNM_VG4_4ZZ_D
12564
414k
    136U, // FMAXNM_VG4_4ZZ_H
12565
414k
    96U,  // FMAXNM_VG4_4ZZ_S
12566
414k
    318904448U, // FMAXNM_ZPmI_D
12567
414k
    5385352U, // FMAXNM_ZPmI_H
12568
414k
    318910592U, // FMAXNM_ZPmI_S
12569
414k
    33691776U,  // FMAXNM_ZPmZ_D
12570
414k
    51129480U,  // FMAXNM_ZPmZ_H
12571
414k
    67252352U,  // FMAXNM_ZPmZ_S
12572
414k
    1056920U, // FMAXNMv2f32
12573
414k
    270440U,  // FMAXNMv2f64
12574
414k
    1188000U, // FMAXNMv4f16
12575
414k
    401520U,  // FMAXNMv4f32
12576
414k
    532600U,  // FMAXNMv8f16
12577
414k
    33691776U,  // FMAXP_ZPmZZ_D
12578
414k
    51129480U,  // FMAXP_ZPmZZ_H
12579
414k
    67252352U,  // FMAXP_ZPmZZ_S
12580
414k
    1056920U, // FMAXPv2f32
12581
414k
    270440U,  // FMAXPv2f64
12582
414k
    456U, // FMAXPv2i16p
12583
414k
    40U,  // FMAXPv2i32p
12584
414k
    48U,  // FMAXPv2i64p
12585
414k
    1188000U, // FMAXPv4f16
12586
414k
    401520U,  // FMAXPv4f32
12587
414k
    532600U,  // FMAXPv8f16
12588
414k
    6232U,  // FMAXQV_D
12589
414k
    5208U,  // FMAXQV_H
12590
414k
    12376U, // FMAXQV_S
12591
414k
    3160U,  // FMAXSrr
12592
414k
    0U, // FMAXV_VPZ_D
12593
414k
    0U, // FMAXV_VPZ_H
12594
414k
    0U, // FMAXV_VPZ_S
12595
414k
    56U,  // FMAXVv4i16v
12596
414k
    64U,  // FMAXVv4i32v
12597
414k
    72U,  // FMAXVv8i16v
12598
414k
    464U, // FMAX_VG2_2Z2Z_D
12599
414k
    248U, // FMAX_VG2_2Z2Z_H
12600
414k
    472U, // FMAX_VG2_2Z2Z_S
12601
414k
    184U, // FMAX_VG2_2ZZ_D
12602
414k
    136U, // FMAX_VG2_2ZZ_H
12603
414k
    96U,  // FMAX_VG2_2ZZ_S
12604
414k
    464U, // FMAX_VG4_4Z4Z_D
12605
414k
    248U, // FMAX_VG4_4Z4Z_H
12606
414k
    472U, // FMAX_VG4_4Z4Z_S
12607
414k
    184U, // FMAX_VG4_4ZZ_D
12608
414k
    136U, // FMAX_VG4_4ZZ_H
12609
414k
    96U,  // FMAX_VG4_4ZZ_S
12610
414k
    318904448U, // FMAX_ZPmI_D
12611
414k
    5385352U, // FMAX_ZPmI_H
12612
414k
    318910592U, // FMAX_ZPmI_S
12613
414k
    33691776U,  // FMAX_ZPmZ_D
12614
414k
    51129480U,  // FMAX_ZPmZ_H
12615
414k
    67252352U,  // FMAX_ZPmZ_S
12616
414k
    1056920U, // FMAXv2f32
12617
414k
    270440U,  // FMAXv2f64
12618
414k
    1188000U, // FMAXv4f16
12619
414k
    401520U,  // FMAXv4f32
12620
414k
    532600U,  // FMAXv8f16
12621
414k
    3160U,  // FMINDrr
12622
414k
    3160U,  // FMINHrr
12623
414k
    3160U,  // FMINNMDrr
12624
414k
    3160U,  // FMINNMHrr
12625
414k
    33691776U,  // FMINNMP_ZPmZZ_D
12626
414k
    51129480U,  // FMINNMP_ZPmZZ_H
12627
414k
    67252352U,  // FMINNMP_ZPmZZ_S
12628
414k
    1056920U, // FMINNMPv2f32
12629
414k
    270440U,  // FMINNMPv2f64
12630
414k
    456U, // FMINNMPv2i16p
12631
414k
    40U,  // FMINNMPv2i32p
12632
414k
    48U,  // FMINNMPv2i64p
12633
414k
    1188000U, // FMINNMPv4f16
12634
414k
    401520U,  // FMINNMPv4f32
12635
414k
    532600U,  // FMINNMPv8f16
12636
414k
    6232U,  // FMINNMQV_D
12637
414k
    5208U,  // FMINNMQV_H
12638
414k
    12376U, // FMINNMQV_S
12639
414k
    3160U,  // FMINNMSrr
12640
414k
    0U, // FMINNMV_VPZ_D
12641
414k
    0U, // FMINNMV_VPZ_H
12642
414k
    0U, // FMINNMV_VPZ_S
12643
414k
    56U,  // FMINNMVv4i16v
12644
414k
    64U,  // FMINNMVv4i32v
12645
414k
    72U,  // FMINNMVv8i16v
12646
414k
    464U, // FMINNM_VG2_2Z2Z_D
12647
414k
    248U, // FMINNM_VG2_2Z2Z_H
12648
414k
    472U, // FMINNM_VG2_2Z2Z_S
12649
414k
    184U, // FMINNM_VG2_2ZZ_D
12650
414k
    136U, // FMINNM_VG2_2ZZ_H
12651
414k
    96U,  // FMINNM_VG2_2ZZ_S
12652
414k
    464U, // FMINNM_VG4_4Z4Z_D
12653
414k
    248U, // FMINNM_VG4_4Z4Z_H
12654
414k
    472U, // FMINNM_VG4_4Z4Z_S
12655
414k
    184U, // FMINNM_VG4_4ZZ_D
12656
414k
    136U, // FMINNM_VG4_4ZZ_H
12657
414k
    96U,  // FMINNM_VG4_4ZZ_S
12658
414k
    318904448U, // FMINNM_ZPmI_D
12659
414k
    5385352U, // FMINNM_ZPmI_H
12660
414k
    318910592U, // FMINNM_ZPmI_S
12661
414k
    33691776U,  // FMINNM_ZPmZ_D
12662
414k
    51129480U,  // FMINNM_ZPmZ_H
12663
414k
    67252352U,  // FMINNM_ZPmZ_S
12664
414k
    1056920U, // FMINNMv2f32
12665
414k
    270440U,  // FMINNMv2f64
12666
414k
    1188000U, // FMINNMv4f16
12667
414k
    401520U,  // FMINNMv4f32
12668
414k
    532600U,  // FMINNMv8f16
12669
414k
    33691776U,  // FMINP_ZPmZZ_D
12670
414k
    51129480U,  // FMINP_ZPmZZ_H
12671
414k
    67252352U,  // FMINP_ZPmZZ_S
12672
414k
    1056920U, // FMINPv2f32
12673
414k
    270440U,  // FMINPv2f64
12674
414k
    456U, // FMINPv2i16p
12675
414k
    40U,  // FMINPv2i32p
12676
414k
    48U,  // FMINPv2i64p
12677
414k
    1188000U, // FMINPv4f16
12678
414k
    401520U,  // FMINPv4f32
12679
414k
    532600U,  // FMINPv8f16
12680
414k
    6232U,  // FMINQV_D
12681
414k
    5208U,  // FMINQV_H
12682
414k
    12376U, // FMINQV_S
12683
414k
    3160U,  // FMINSrr
12684
414k
    0U, // FMINV_VPZ_D
12685
414k
    0U, // FMINV_VPZ_H
12686
414k
    0U, // FMINV_VPZ_S
12687
414k
    56U,  // FMINVv4i16v
12688
414k
    64U,  // FMINVv4i32v
12689
414k
    72U,  // FMINVv8i16v
12690
414k
    464U, // FMIN_VG2_2Z2Z_D
12691
414k
    248U, // FMIN_VG2_2Z2Z_H
12692
414k
    472U, // FMIN_VG2_2Z2Z_S
12693
414k
    184U, // FMIN_VG2_2ZZ_D
12694
414k
    136U, // FMIN_VG2_2ZZ_H
12695
414k
    96U,  // FMIN_VG2_2ZZ_S
12696
414k
    464U, // FMIN_VG4_4Z4Z_D
12697
414k
    248U, // FMIN_VG4_4Z4Z_H
12698
414k
    472U, // FMIN_VG4_4Z4Z_S
12699
414k
    184U, // FMIN_VG4_4ZZ_D
12700
414k
    136U, // FMIN_VG4_4ZZ_H
12701
414k
    96U,  // FMIN_VG4_4ZZ_S
12702
414k
    318904448U, // FMIN_ZPmI_D
12703
414k
    5385352U, // FMIN_ZPmI_H
12704
414k
    318910592U, // FMIN_ZPmI_S
12705
414k
    33691776U,  // FMIN_ZPmZ_D
12706
414k
    51129480U,  // FMIN_ZPmZ_H
12707
414k
    67252352U,  // FMIN_ZPmZ_S
12708
414k
    1056920U, // FMINv2f32
12709
414k
    270440U,  // FMINv2f64
12710
414k
    1188000U, // FMINv4f16
12711
414k
    401520U,  // FMINv4f32
12712
414k
    532600U,  // FMINv8f16
12713
414k
    49696U, // FMLAL2lanev4f16
12714
414k
    120464544U, // FMLAL2lanev8f16
12715
414k
    50720U, // FMLAL2v4f16
12716
414k
    1189024U, // FMLAL2v8f16
12717
414k
    8U, // FMLALB_ZZZ
12718
414k
    38920U, // FMLALB_ZZZI
12719
414k
    53222488U,  // FMLALB_ZZZI_SHH
12720
414k
    7256U,  // FMLALB_ZZZ_SHH
12721
414k
    5514384U, // FMLALBlanev8f16
12722
414k
    926864U,  // FMLALBv8f16
12723
414k
    9U, // FMLALLBB_ZZZ
12724
414k
    38921U, // FMLALLBB_ZZZI
12725
414k
    5514384U, // FMLALLBBlanev4f32
12726
414k
    926864U,  // FMLALLBBv4f32
12727
414k
    9U, // FMLALLBT_ZZZ
12728
414k
    38921U, // FMLALLBT_ZZZI
12729
414k
    5514384U, // FMLALLBTlanev4f32
12730
414k
    926864U,  // FMLALLBTv4f32
12731
414k
    9U, // FMLALLTB_ZZZ
12732
414k
    38921U, // FMLALLTB_ZZZI
12733
414k
    5514384U, // FMLALLTBlanev4f32
12734
414k
    926864U,  // FMLALLTBv4f32
12735
414k
    9U, // FMLALLTT_ZZZ
12736
414k
    38921U, // FMLALLTT_ZZZI
12737
414k
    5514384U, // FMLALLTTlanev4f32
12738
414k
    926864U,  // FMLALLTTv4f32
12739
414k
    38441U, // FMLALL_MZZI_BtoS
12740
414k
    553U, // FMLALL_MZZ_BtoS
12741
414k
    47640U, // FMLALL_VG2_M2Z2Z_BtoS
12742
414k
    5029400U, // FMLALL_VG2_M2ZZI_BtoS
12743
414k
    48666U, // FMLALL_VG2_M2ZZ_BtoS
12744
414k
    47640U, // FMLALL_VG4_M4Z4Z_BtoS
12745
414k
    5029400U, // FMLALL_VG4_M4ZZI_BtoS
12746
414k
    48667U, // FMLALL_VG4_M4ZZ_BtoS
12747
414k
    8U, // FMLALT_ZZZ
12748
414k
    38920U, // FMLALT_ZZZI
12749
414k
    53222488U,  // FMLALT_ZZZI_SHH
12750
414k
    7256U,  // FMLALT_ZZZ_SHH
12751
414k
    5514384U, // FMLALTlanev8f16
12752
414k
    926864U,  // FMLALTv8f16
12753
414k
    38441U, // FMLAL_MZZI_BtoH
12754
414k
    38145U, // FMLAL_MZZI_HtoS
12755
414k
    257U, // FMLAL_MZZ_HtoS
12756
414k
    47640U, // FMLAL_VG2_M2Z2Z_BtoH
12757
414k
    2632936U, // FMLAL_VG2_M2Z2Z_HtoS
12758
414k
    5029400U, // FMLAL_VG2_M2ZZI_BtoH
12759
414k
    103427304U, // FMLAL_VG2_M2ZZI_HtoS
12760
414k
    48664U, // FMLAL_VG2_M2ZZ_BtoH
12761
414k
    53095656U,  // FMLAL_VG2_M2ZZ_HtoS
12762
414k
    553U, // FMLAL_VG2_MZZ_BtoH
12763
414k
    47640U, // FMLAL_VG4_M4Z4Z_BtoH
12764
414k
    2632936U, // FMLAL_VG4_M4Z4Z_HtoS
12765
414k
    5029400U, // FMLAL_VG4_M4ZZI_BtoH
12766
414k
    103427304U, // FMLAL_VG4_M4ZZI_HtoS
12767
414k
    48664U, // FMLAL_VG4_M4ZZ_BtoH
12768
414k
    53095656U,  // FMLAL_VG4_M4ZZ_HtoS
12769
414k
    49696U, // FMLALlanev4f16
12770
414k
    120464544U, // FMLALlanev8f16
12771
414k
    50720U, // FMLALv4f16
12772
414k
    1189024U, // FMLALv8f16
12773
414k
    1584320U, // FMLA_VG2_M2Z2Z_D
12774
414k
    1715400U, // FMLA_VG2_M2Z2Z_S
12775
414k
    2632936U, // FMLA_VG2_M2Z4Z_H
12776
414k
    102509760U, // FMLA_VG2_M2ZZI_D
12777
414k
    103427304U, // FMLA_VG2_M2ZZI_H
12778
414k
    102640840U, // FMLA_VG2_M2ZZI_S
12779
414k
    52178112U,  // FMLA_VG2_M2ZZ_D
12780
414k
    53095656U,  // FMLA_VG2_M2ZZ_H
12781
414k
    52309192U,  // FMLA_VG2_M2ZZ_S
12782
414k
    1584320U, // FMLA_VG4_M4Z4Z_D
12783
414k
    2632936U, // FMLA_VG4_M4Z4Z_H
12784
414k
    1715400U, // FMLA_VG4_M4Z4Z_S
12785
414k
    102509760U, // FMLA_VG4_M4ZZI_D
12786
414k
    103427304U, // FMLA_VG4_M4ZZI_H
12787
414k
    102640840U, // FMLA_VG4_M4ZZI_S
12788
414k
    52178112U,  // FMLA_VG4_M4ZZ_D
12789
414k
    53095656U,  // FMLA_VG4_M4ZZ_H
12790
414k
    52309192U,  // FMLA_VG4_M4ZZ_S
12791
414k
    285344896U, // FMLA_ZPmZZ_D
12792
414k
    53488880U,  // FMLA_ZPmZZ_H
12793
414k
    302123136U, // FMLA_ZPmZZ_S
12794
414k
    53216344U,  // FMLA_ZZZI_D
12795
414k
    39152U, // FMLA_ZZZI_H
12796
414k
    53217368U,  // FMLA_ZZZI_S
12797
414k
    120464473U, // FMLAv1i16_indexed
12798
414k
    122299481U, // FMLAv1i32_indexed
12799
414k
    123085913U, // FMLAv1i64_indexed
12800
414k
    1057944U, // FMLAv2f32
12801
414k
    271464U,  // FMLAv2f64
12802
414k
    122299544U, // FMLAv2i32_indexed
12803
414k
    123085928U, // FMLAv2i64_indexed
12804
414k
    1189024U, // FMLAv4f16
12805
414k
    402544U,  // FMLAv4f32
12806
414k
    120464544U, // FMLAv4i16_indexed
12807
414k
    122299504U, // FMLAv4i32_indexed
12808
414k
    533624U,  // FMLAv8f16
12809
414k
    120464504U, // FMLAv8i16_indexed
12810
414k
    49696U, // FMLSL2lanev4f16
12811
414k
    120464544U, // FMLSL2lanev8f16
12812
414k
    50720U, // FMLSL2v4f16
12813
414k
    1189024U, // FMLSL2v8f16
12814
414k
    53222488U,  // FMLSLB_ZZZI_SHH
12815
414k
    7256U,  // FMLSLB_ZZZ_SHH
12816
414k
    53222488U,  // FMLSLT_ZZZI_SHH
12817
414k
    7256U,  // FMLSLT_ZZZ_SHH
12818
414k
    38145U, // FMLSL_MZZI_HtoS
12819
414k
    257U, // FMLSL_MZZ_HtoS
12820
414k
    2632936U, // FMLSL_VG2_M2Z2Z_HtoS
12821
414k
    103427304U, // FMLSL_VG2_M2ZZI_HtoS
12822
414k
    53095656U,  // FMLSL_VG2_M2ZZ_HtoS
12823
414k
    2632936U, // FMLSL_VG4_M4Z4Z_HtoS
12824
414k
    103427304U, // FMLSL_VG4_M4ZZI_HtoS
12825
414k
    53095656U,  // FMLSL_VG4_M4ZZ_HtoS
12826
414k
    49696U, // FMLSLlanev4f16
12827
414k
    120464544U, // FMLSLlanev8f16
12828
414k
    50720U, // FMLSLv4f16
12829
414k
    1189024U, // FMLSLv8f16
12830
414k
    1584320U, // FMLS_VG2_M2Z2Z_D
12831
414k
    2632936U, // FMLS_VG2_M2Z2Z_H
12832
414k
    1715400U, // FMLS_VG2_M2Z2Z_S
12833
414k
    102509760U, // FMLS_VG2_M2ZZI_D
12834
414k
    103427304U, // FMLS_VG2_M2ZZI_H
12835
414k
    102640840U, // FMLS_VG2_M2ZZI_S
12836
414k
    52178112U,  // FMLS_VG2_M2ZZ_D
12837
414k
    53095656U,  // FMLS_VG2_M2ZZ_H
12838
414k
    52309192U,  // FMLS_VG2_M2ZZ_S
12839
414k
    2632936U, // FMLS_VG4_M4Z2Z_H
12840
414k
    1584320U, // FMLS_VG4_M4Z4Z_D
12841
414k
    1715400U, // FMLS_VG4_M4Z4Z_S
12842
414k
    102509760U, // FMLS_VG4_M4ZZI_D
12843
414k
    103427304U, // FMLS_VG4_M4ZZI_H
12844
414k
    102640840U, // FMLS_VG4_M4ZZI_S
12845
414k
    52178112U,  // FMLS_VG4_M4ZZ_D
12846
414k
    53095656U,  // FMLS_VG4_M4ZZ_H
12847
414k
    52309192U,  // FMLS_VG4_M4ZZ_S
12848
414k
    285344896U, // FMLS_ZPmZZ_D
12849
414k
    53488880U,  // FMLS_ZPmZZ_H
12850
414k
    302123136U, // FMLS_ZPmZZ_S
12851
414k
    53216344U,  // FMLS_ZZZI_D
12852
414k
    39152U, // FMLS_ZZZI_H
12853
414k
    53217368U,  // FMLS_ZZZI_S
12854
414k
    120464473U, // FMLSv1i16_indexed
12855
414k
    122299481U, // FMLSv1i32_indexed
12856
414k
    123085913U, // FMLSv1i64_indexed
12857
414k
    1057944U, // FMLSv2f32
12858
414k
    271464U,  // FMLSv2f64
12859
414k
    122299544U, // FMLSv2i32_indexed
12860
414k
    123085928U, // FMLSv2i64_indexed
12861
414k
    1189024U, // FMLSv4f16
12862
414k
    402544U,  // FMLSv4f32
12863
414k
    120464544U, // FMLSv4i16_indexed
12864
414k
    122299504U, // FMLSv4i32_indexed
12865
414k
    533624U,  // FMLSv8f16
12866
414k
    120464504U, // FMLSv8i16_indexed
12867
414k
    1112U,  // FMMLA_ZZZ_D
12868
414k
    2136U,  // FMMLA_ZZZ_S
12869
414k
    0U, // FMOPAL_MPPZZ
12870
414k
    0U, // FMOPA_MPPZZ_BtoH
12871
414k
    0U, // FMOPA_MPPZZ_BtoS
12872
414k
    560U, // FMOPA_MPPZZ_D
12873
414k
    0U, // FMOPA_MPPZZ_H
12874
414k
    264U, // FMOPA_MPPZZ_S
12875
414k
    0U, // FMOPSL_MPPZZ
12876
414k
    560U, // FMOPS_MPPZZ_D
12877
414k
    0U, // FMOPS_MPPZZ_H
12878
414k
    264U, // FMOPS_MPPZZ_S
12879
414k
    45472U, // FMOVDXHighr
12880
414k
    0U, // FMOVDXr
12881
414k
    2U, // FMOVDi
12882
414k
    0U, // FMOVDr
12883
414k
    0U, // FMOVHWr
12884
414k
    0U, // FMOVHXr
12885
414k
    2U, // FMOVHi
12886
414k
    0U, // FMOVHr
12887
414k
    0U, // FMOVSWr
12888
414k
    2U, // FMOVSi
12889
414k
    0U, // FMOVSr
12890
414k
    0U, // FMOVWHr
12891
414k
    0U, // FMOVWSr
12892
414k
    0U, // FMOVXDHighr
12893
414k
    0U, // FMOVXDr
12894
414k
    0U, // FMOVXHr
12895
414k
    2U, // FMOVv2f32_ns
12896
414k
    2U, // FMOVv2f64_ns
12897
414k
    2U, // FMOVv4f16_ns
12898
414k
    2U, // FMOVv4f32_ns
12899
414k
    2U, // FMOVv8f16_ns
12900
414k
    285344896U, // FMSB_ZPmZZ_D
12901
414k
    53488880U,  // FMSB_ZPmZZ_H
12902
414k
    302123136U, // FMSB_ZPmZZ_S
12903
414k
    134232U,  // FMSUBDrrr
12904
414k
    134232U,  // FMSUBHrrr
12905
414k
    134232U,  // FMSUBSrrr
12906
414k
    3160U,  // FMULDrr
12907
414k
    3160U,  // FMULHrr
12908
414k
    3160U,  // FMULSrr
12909
414k
    3160U,  // FMULX16
12910
414k
    3160U,  // FMULX32
12911
414k
    3160U,  // FMULX64
12912
414k
    33691776U,  // FMULX_ZPmZ_D
12913
414k
    51129480U,  // FMULX_ZPmZ_H
12914
414k
    67252352U,  // FMULX_ZPmZ_S
12915
414k
    338567256U, // FMULXv1i16_indexed
12916
414k
    340402264U, // FMULXv1i32_indexed
12917
414k
    341188696U, // FMULXv1i64_indexed
12918
414k
    1056920U, // FMULXv2f32
12919
414k
    270440U,  // FMULXv2f64
12920
414k
    340402328U, // FMULXv2i32_indexed
12921
414k
    341188712U, // FMULXv2i64_indexed
12922
414k
    1188000U, // FMULXv4f16
12923
414k
    401520U,  // FMULXv4f32
12924
414k
    338567328U, // FMULXv4i16_indexed
12925
414k
    340402288U, // FMULXv4i32_indexed
12926
414k
    532600U,  // FMULXv8f16
12927
414k
    338567288U, // FMULXv8i16_indexed
12928
414k
    352458880U, // FMUL_ZPmI_D
12929
414k
    5778568U, // FMUL_ZPmI_H
12930
414k
    352465024U, // FMUL_ZPmI_S
12931
414k
    33691776U,  // FMUL_ZPmZ_D
12932
414k
    51129480U,  // FMUL_ZPmZ_H
12933
414k
    67252352U,  // FMUL_ZPmZ_S
12934
414k
    5904472U, // FMUL_ZZZI_D
12935
414k
    40072U, // FMUL_ZZZI_H
12936
414k
    5910617U, // FMUL_ZZZI_S
12937
414k
    6232U,  // FMUL_ZZZ_D
12938
414k
    136U, // FMUL_ZZZ_H
12939
414k
    12377U, // FMUL_ZZZ_S
12940
414k
    338567256U, // FMULv1i16_indexed
12941
414k
    340402264U, // FMULv1i32_indexed
12942
414k
    341188696U, // FMULv1i64_indexed
12943
414k
    1056920U, // FMULv2f32
12944
414k
    270440U,  // FMULv2f64
12945
414k
    340402328U, // FMULv2i32_indexed
12946
414k
    341188712U, // FMULv2i64_indexed
12947
414k
    1188000U, // FMULv4f16
12948
414k
    401520U,  // FMULv4f32
12949
414k
    338567328U, // FMULv4i16_indexed
12950
414k
    340402288U, // FMULv4i32_indexed
12951
414k
    532600U,  // FMULv8f16
12952
414k
    338567288U, // FMULv8i16_indexed
12953
414k
    0U, // FNEGDr
12954
414k
    0U, // FNEGHr
12955
414k
    0U, // FNEGSr
12956
414k
    16U,  // FNEG_ZPmZ_D
12957
414k
    0U, // FNEG_ZPmZ_H
12958
414k
    24U,  // FNEG_ZPmZ_S
12959
414k
    40U,  // FNEGv2f32
12960
414k
    48U,  // FNEGv2f64
12961
414k
    56U,  // FNEGv4f16
12962
414k
    64U,  // FNEGv4f32
12963
414k
    72U,  // FNEGv8f16
12964
414k
    134232U,  // FNMADDDrrr
12965
414k
    134232U,  // FNMADDHrrr
12966
414k
    134232U,  // FNMADDSrrr
12967
414k
    285344896U, // FNMAD_ZPmZZ_D
12968
414k
    53488880U,  // FNMAD_ZPmZZ_H
12969
414k
    302123136U, // FNMAD_ZPmZZ_S
12970
414k
    285344896U, // FNMLA_ZPmZZ_D
12971
414k
    53488880U,  // FNMLA_ZPmZZ_H
12972
414k
    302123136U, // FNMLA_ZPmZZ_S
12973
414k
    285344896U, // FNMLS_ZPmZZ_D
12974
414k
    53488880U,  // FNMLS_ZPmZZ_H
12975
414k
    302123136U, // FNMLS_ZPmZZ_S
12976
414k
    285344896U, // FNMSB_ZPmZZ_D
12977
414k
    53488880U,  // FNMSB_ZPmZZ_H
12978
414k
    302123136U, // FNMSB_ZPmZZ_S
12979
414k
    134232U,  // FNMSUBDrrr
12980
414k
    134232U,  // FNMSUBHrrr
12981
414k
    134232U,  // FNMSUBSrrr
12982
414k
    3160U,  // FNMULDrr
12983
414k
    3160U,  // FNMULHrr
12984
414k
    3160U,  // FNMULSrr
12985
414k
    0U, // FRECPE_ZZ_D
12986
414k
    0U, // FRECPE_ZZ_H
12987
414k
    1U, // FRECPE_ZZ_S
12988
414k
    0U, // FRECPEv1f16
12989
414k
    0U, // FRECPEv1i32
12990
414k
    0U, // FRECPEv1i64
12991
414k
    40U,  // FRECPEv2f32
12992
414k
    48U,  // FRECPEv2f64
12993
414k
    56U,  // FRECPEv4f16
12994
414k
    64U,  // FRECPEv4f32
12995
414k
    72U,  // FRECPEv8f16
12996
414k
    3160U,  // FRECPS16
12997
414k
    3160U,  // FRECPS32
12998
414k
    3160U,  // FRECPS64
12999
414k
    6232U,  // FRECPS_ZZZ_D
13000
414k
    136U, // FRECPS_ZZZ_H
13001
414k
    12377U, // FRECPS_ZZZ_S
13002
414k
    1056920U, // FRECPSv2f32
13003
414k
    270440U,  // FRECPSv2f64
13004
414k
    1188000U, // FRECPSv4f16
13005
414k
    401520U,  // FRECPSv4f32
13006
414k
    532600U,  // FRECPSv8f16
13007
414k
    16U,  // FRECPX_ZPmZ_D
13008
414k
    0U, // FRECPX_ZPmZ_H
13009
414k
    24U,  // FRECPX_ZPmZ_S
13010
414k
    0U, // FRECPXv1f16
13011
414k
    0U, // FRECPXv1i32
13012
414k
    0U, // FRECPXv1i64
13013
414k
    0U, // FRINT32XDr
13014
414k
    0U, // FRINT32XSr
13015
414k
    40U,  // FRINT32Xv2f32
13016
414k
    48U,  // FRINT32Xv2f64
13017
414k
    64U,  // FRINT32Xv4f32
13018
414k
    0U, // FRINT32ZDr
13019
414k
    0U, // FRINT32ZSr
13020
414k
    40U,  // FRINT32Zv2f32
13021
414k
    48U,  // FRINT32Zv2f64
13022
414k
    64U,  // FRINT32Zv4f32
13023
414k
    0U, // FRINT64XDr
13024
414k
    0U, // FRINT64XSr
13025
414k
    40U,  // FRINT64Xv2f32
13026
414k
    48U,  // FRINT64Xv2f64
13027
414k
    64U,  // FRINT64Xv4f32
13028
414k
    0U, // FRINT64ZDr
13029
414k
    0U, // FRINT64ZSr
13030
414k
    40U,  // FRINT64Zv2f32
13031
414k
    48U,  // FRINT64Zv2f64
13032
414k
    64U,  // FRINT64Zv4f32
13033
414k
    0U, // FRINTADr
13034
414k
    0U, // FRINTAHr
13035
414k
    0U, // FRINTASr
13036
414k
    0U, // FRINTA_2Z2Z_S
13037
414k
    0U, // FRINTA_4Z4Z_S
13038
414k
    16U,  // FRINTA_ZPmZ_D
13039
414k
    0U, // FRINTA_ZPmZ_H
13040
414k
    24U,  // FRINTA_ZPmZ_S
13041
414k
    40U,  // FRINTAv2f32
13042
414k
    48U,  // FRINTAv2f64
13043
414k
    56U,  // FRINTAv4f16
13044
414k
    64U,  // FRINTAv4f32
13045
414k
    72U,  // FRINTAv8f16
13046
414k
    0U, // FRINTIDr
13047
414k
    0U, // FRINTIHr
13048
414k
    0U, // FRINTISr
13049
414k
    16U,  // FRINTI_ZPmZ_D
13050
414k
    0U, // FRINTI_ZPmZ_H
13051
414k
    24U,  // FRINTI_ZPmZ_S
13052
414k
    40U,  // FRINTIv2f32
13053
414k
    48U,  // FRINTIv2f64
13054
414k
    56U,  // FRINTIv4f16
13055
414k
    64U,  // FRINTIv4f32
13056
414k
    72U,  // FRINTIv8f16
13057
414k
    0U, // FRINTMDr
13058
414k
    0U, // FRINTMHr
13059
414k
    0U, // FRINTMSr
13060
414k
    0U, // FRINTM_2Z2Z_S
13061
414k
    0U, // FRINTM_4Z4Z_S
13062
414k
    16U,  // FRINTM_ZPmZ_D
13063
414k
    0U, // FRINTM_ZPmZ_H
13064
414k
    24U,  // FRINTM_ZPmZ_S
13065
414k
    40U,  // FRINTMv2f32
13066
414k
    48U,  // FRINTMv2f64
13067
414k
    56U,  // FRINTMv4f16
13068
414k
    64U,  // FRINTMv4f32
13069
414k
    72U,  // FRINTMv8f16
13070
414k
    0U, // FRINTNDr
13071
414k
    0U, // FRINTNHr
13072
414k
    0U, // FRINTNSr
13073
414k
    0U, // FRINTN_2Z2Z_S
13074
414k
    0U, // FRINTN_4Z4Z_S
13075
414k
    16U,  // FRINTN_ZPmZ_D
13076
414k
    0U, // FRINTN_ZPmZ_H
13077
414k
    24U,  // FRINTN_ZPmZ_S
13078
414k
    40U,  // FRINTNv2f32
13079
414k
    48U,  // FRINTNv2f64
13080
414k
    56U,  // FRINTNv4f16
13081
414k
    64U,  // FRINTNv4f32
13082
414k
    72U,  // FRINTNv8f16
13083
414k
    0U, // FRINTPDr
13084
414k
    0U, // FRINTPHr
13085
414k
    0U, // FRINTPSr
13086
414k
    0U, // FRINTP_2Z2Z_S
13087
414k
    0U, // FRINTP_4Z4Z_S
13088
414k
    16U,  // FRINTP_ZPmZ_D
13089
414k
    0U, // FRINTP_ZPmZ_H
13090
414k
    24U,  // FRINTP_ZPmZ_S
13091
414k
    40U,  // FRINTPv2f32
13092
414k
    48U,  // FRINTPv2f64
13093
414k
    56U,  // FRINTPv4f16
13094
414k
    64U,  // FRINTPv4f32
13095
414k
    72U,  // FRINTPv8f16
13096
414k
    0U, // FRINTXDr
13097
414k
    0U, // FRINTXHr
13098
414k
    0U, // FRINTXSr
13099
414k
    16U,  // FRINTX_ZPmZ_D
13100
414k
    0U, // FRINTX_ZPmZ_H
13101
414k
    24U,  // FRINTX_ZPmZ_S
13102
414k
    40U,  // FRINTXv2f32
13103
414k
    48U,  // FRINTXv2f64
13104
414k
    56U,  // FRINTXv4f16
13105
414k
    64U,  // FRINTXv4f32
13106
414k
    72U,  // FRINTXv8f16
13107
414k
    0U, // FRINTZDr
13108
414k
    0U, // FRINTZHr
13109
414k
    0U, // FRINTZSr
13110
414k
    16U,  // FRINTZ_ZPmZ_D
13111
414k
    0U, // FRINTZ_ZPmZ_H
13112
414k
    24U,  // FRINTZ_ZPmZ_S
13113
414k
    40U,  // FRINTZv2f32
13114
414k
    48U,  // FRINTZv2f64
13115
414k
    56U,  // FRINTZv4f16
13116
414k
    64U,  // FRINTZv4f32
13117
414k
    72U,  // FRINTZv8f16
13118
414k
    0U, // FRSQRTE_ZZ_D
13119
414k
    0U, // FRSQRTE_ZZ_H
13120
414k
    1U, // FRSQRTE_ZZ_S
13121
414k
    0U, // FRSQRTEv1f16
13122
414k
    0U, // FRSQRTEv1i32
13123
414k
    0U, // FRSQRTEv1i64
13124
414k
    40U,  // FRSQRTEv2f32
13125
414k
    48U,  // FRSQRTEv2f64
13126
414k
    56U,  // FRSQRTEv4f16
13127
414k
    64U,  // FRSQRTEv4f32
13128
414k
    72U,  // FRSQRTEv8f16
13129
414k
    3160U,  // FRSQRTS16
13130
414k
    3160U,  // FRSQRTS32
13131
414k
    3160U,  // FRSQRTS64
13132
414k
    6232U,  // FRSQRTS_ZZZ_D
13133
414k
    136U, // FRSQRTS_ZZZ_H
13134
414k
    12377U, // FRSQRTS_ZZZ_S
13135
414k
    1056920U, // FRSQRTSv2f32
13136
414k
    270440U,  // FRSQRTSv2f64
13137
414k
    1188000U, // FRSQRTSv4f16
13138
414k
    401520U,  // FRSQRTSv4f32
13139
414k
    532600U,  // FRSQRTSv8f16
13140
414k
    464U, // FSCALE_2Z2Z_D
13141
414k
    248U, // FSCALE_2Z2Z_H
13142
414k
    472U, // FSCALE_2Z2Z_S
13143
414k
    184U, // FSCALE_2ZZ_D
13144
414k
    136U, // FSCALE_2ZZ_H
13145
414k
    96U,  // FSCALE_2ZZ_S
13146
414k
    464U, // FSCALE_4Z4Z_D
13147
414k
    248U, // FSCALE_4Z4Z_H
13148
414k
    472U, // FSCALE_4Z4Z_S
13149
414k
    184U, // FSCALE_4ZZ_D
13150
414k
    136U, // FSCALE_4ZZ_H
13151
414k
    96U,  // FSCALE_4ZZ_S
13152
414k
    33691776U,  // FSCALE_ZPmZ_D
13153
414k
    51129480U,  // FSCALE_ZPmZ_H
13154
414k
    67252352U,  // FSCALE_ZPmZ_S
13155
414k
    1056920U, // FSCALEv2f32
13156
414k
    270440U,  // FSCALEv2f64
13157
414k
    1188000U, // FSCALEv4f16
13158
414k
    401520U,  // FSCALEv4f32
13159
414k
    532600U,  // FSCALEv8f16
13160
414k
    0U, // FSQRTDr
13161
414k
    0U, // FSQRTHr
13162
414k
    0U, // FSQRTSr
13163
414k
    16U,  // FSQRT_ZPmZ_D
13164
414k
    0U, // FSQRT_ZPmZ_H
13165
414k
    24U,  // FSQRT_ZPmZ_S
13166
414k
    40U,  // FSQRTv2f32
13167
414k
    48U,  // FSQRTv2f64
13168
414k
    56U,  // FSQRTv4f16
13169
414k
    64U,  // FSQRTv4f32
13170
414k
    72U,  // FSQRTv8f16
13171
414k
    3160U,  // FSUBDrr
13172
414k
    3160U,  // FSUBHrr
13173
414k
    268572800U, // FSUBR_ZPmI_D
13174
414k
    3943560U, // FSUBR_ZPmI_H
13175
414k
    268578944U, // FSUBR_ZPmI_S
13176
414k
    33691776U,  // FSUBR_ZPmZ_D
13177
414k
    51129480U,  // FSUBR_ZPmZ_H
13178
414k
    67252352U,  // FSUBR_ZPmZ_S
13179
414k
    3160U,  // FSUBSrr
13180
414k
    192U, // FSUB_VG2_M2Z_D
13181
414k
    232U, // FSUB_VG2_M2Z_H
13182
414k
    200U, // FSUB_VG2_M2Z_S
13183
414k
    192U, // FSUB_VG4_M4Z_D
13184
414k
    232U, // FSUB_VG4_M4Z_H
13185
414k
    200U, // FSUB_VG4_M4Z_S
13186
414k
    268572800U, // FSUB_ZPmI_D
13187
414k
    3943560U, // FSUB_ZPmI_H
13188
414k
    268578944U, // FSUB_ZPmI_S
13189
414k
    33691776U,  // FSUB_ZPmZ_D
13190
414k
    51129480U,  // FSUB_ZPmZ_H
13191
414k
    67252352U,  // FSUB_ZPmZ_S
13192
414k
    6232U,  // FSUB_ZZZ_D
13193
414k
    136U, // FSUB_ZZZ_H
13194
414k
    12377U, // FSUB_ZZZ_S
13195
414k
    1056920U, // FSUBv2f32
13196
414k
    270440U,  // FSUBv2f64
13197
414k
    1188000U, // FSUBv4f16
13198
414k
    401520U,  // FSUBv4f32
13199
414k
    532600U,  // FSUBv8f16
13200
414k
    137304U,  // FTMAD_ZZI_D
13201
414k
    52440200U,  // FTMAD_ZZI_H
13202
414k
    143449U,  // FTMAD_ZZI_S
13203
414k
    6232U,  // FTSMUL_ZZZ_D
13204
414k
    136U, // FTSMUL_ZZZ_H
13205
414k
    12377U, // FTSMUL_ZZZ_S
13206
414k
    6232U,  // FTSSEL_ZZZ_D
13207
414k
    136U, // FTSSEL_ZZZ_H
13208
414k
    12377U, // FTSSEL_ZZZ_S
13209
414k
    5029400U, // FVDOTB_VG4_M2ZZI_BtoS
13210
414k
    5029400U, // FVDOTT_VG4_M2ZZI_BtoS
13211
414k
    5029400U, // FVDOT_VG2_M2ZZI_BtoH
13212
414k
    103427304U, // FVDOT_VG2_M2ZZI_HtoS
13213
414k
    0U, // GCSPOPCX
13214
414k
    0U, // GCSPOPM
13215
414k
    0U, // GCSPOPX
13216
414k
    0U, // GCSPUSHM
13217
414k
    0U, // GCSPUSHX
13218
414k
    0U, // GCSSS1
13219
414k
    0U, // GCSSS2
13220
414k
    0U, // GCSSTR
13221
414k
    0U, // GCSSTTR
13222
414k
    371207355U, // GLD1B_D_IMM_REAL
13223
414k
    6040803U, // GLD1B_D_REAL
13224
414k
    6171875U, // GLD1B_D_SXTW_REAL
13225
414k
    6302947U, // GLD1B_D_UXTW_REAL
13226
414k
    371207267U, // GLD1B_S_IMM_REAL
13227
414k
    6434019U, // GLD1B_S_SXTW_REAL
13228
414k
    6565091U, // GLD1B_S_UXTW_REAL
13229
414k
    6696123U, // GLD1D_IMM_REAL
13230
414k
    6040803U, // GLD1D_REAL
13231
414k
    6827235U, // GLD1D_SCALED_REAL
13232
414k
    6171875U, // GLD1D_SXTW_REAL
13233
414k
    6958307U, // GLD1D_SXTW_SCALED_REAL
13234
414k
    6302947U, // GLD1D_UXTW_REAL
13235
414k
    7089379U, // GLD1D_UXTW_SCALED_REAL
13236
414k
    376319163U, // GLD1H_D_IMM_REAL
13237
414k
    6040803U, // GLD1H_D_REAL
13238
414k
    7351523U, // GLD1H_D_SCALED_REAL
13239
414k
    6171875U, // GLD1H_D_SXTW_REAL
13240
414k
    7482595U, // GLD1H_D_SXTW_SCALED_REAL
13241
414k
    6302947U, // GLD1H_D_UXTW_REAL
13242
414k
    7613667U, // GLD1H_D_UXTW_SCALED_REAL
13243
414k
    376319075U, // GLD1H_S_IMM_REAL
13244
414k
    6434019U, // GLD1H_S_SXTW_REAL
13245
414k
    7744739U, // GLD1H_S_SXTW_SCALED_REAL
13246
414k
    6565091U, // GLD1H_S_UXTW_REAL
13247
414k
    7875811U, // GLD1H_S_UXTW_SCALED_REAL
13248
414k
    371207355U, // GLD1Q
13249
414k
    371207355U, // GLD1SB_D_IMM_REAL
13250
414k
    6040803U, // GLD1SB_D_REAL
13251
414k
    6171875U, // GLD1SB_D_SXTW_REAL
13252
414k
    6302947U, // GLD1SB_D_UXTW_REAL
13253
414k
    371207267U, // GLD1SB_S_IMM_REAL
13254
414k
    6434019U, // GLD1SB_S_SXTW_REAL
13255
414k
    6565091U, // GLD1SB_S_UXTW_REAL
13256
414k
    376319163U, // GLD1SH_D_IMM_REAL
13257
414k
    6040803U, // GLD1SH_D_REAL
13258
414k
    7351523U, // GLD1SH_D_SCALED_REAL
13259
414k
    6171875U, // GLD1SH_D_SXTW_REAL
13260
414k
    7482595U, // GLD1SH_D_SXTW_SCALED_REAL
13261
414k
    6302947U, // GLD1SH_D_UXTW_REAL
13262
414k
    7613667U, // GLD1SH_D_UXTW_SCALED_REAL
13263
414k
    376319075U, // GLD1SH_S_IMM_REAL
13264
414k
    6434019U, // GLD1SH_S_SXTW_REAL
13265
414k
    7744739U, // GLD1SH_S_SXTW_SCALED_REAL
13266
414k
    6565091U, // GLD1SH_S_UXTW_REAL
13267
414k
    7875811U, // GLD1SH_S_UXTW_SCALED_REAL
13268
414k
    377105595U, // GLD1SW_D_IMM_REAL
13269
414k
    6040803U, // GLD1SW_D_REAL
13270
414k
    8137955U, // GLD1SW_D_SCALED_REAL
13271
414k
    6171875U, // GLD1SW_D_SXTW_REAL
13272
414k
    8269027U, // GLD1SW_D_SXTW_SCALED_REAL
13273
414k
    6302947U, // GLD1SW_D_UXTW_REAL
13274
414k
    8400099U, // GLD1SW_D_UXTW_SCALED_REAL
13275
414k
    377105595U, // GLD1W_D_IMM_REAL
13276
414k
    6040803U, // GLD1W_D_REAL
13277
414k
    8137955U, // GLD1W_D_SCALED_REAL
13278
414k
    6171875U, // GLD1W_D_SXTW_REAL
13279
414k
    8269027U, // GLD1W_D_SXTW_SCALED_REAL
13280
414k
    6302947U, // GLD1W_D_UXTW_REAL
13281
414k
    8400099U, // GLD1W_D_UXTW_SCALED_REAL
13282
414k
    377105507U, // GLD1W_IMM_REAL
13283
414k
    6434019U, // GLD1W_SXTW_REAL
13284
414k
    8531171U, // GLD1W_SXTW_SCALED_REAL
13285
414k
    6565091U, // GLD1W_UXTW_REAL
13286
414k
    8662243U, // GLD1W_UXTW_SCALED_REAL
13287
414k
    371207355U, // GLDFF1B_D_IMM_REAL
13288
414k
    6040803U, // GLDFF1B_D_REAL
13289
414k
    6171875U, // GLDFF1B_D_SXTW_REAL
13290
414k
    6302947U, // GLDFF1B_D_UXTW_REAL
13291
414k
    371207267U, // GLDFF1B_S_IMM_REAL
13292
414k
    6434019U, // GLDFF1B_S_SXTW_REAL
13293
414k
    6565091U, // GLDFF1B_S_UXTW_REAL
13294
414k
    6696123U, // GLDFF1D_IMM_REAL
13295
414k
    6040803U, // GLDFF1D_REAL
13296
414k
    6827235U, // GLDFF1D_SCALED_REAL
13297
414k
    6171875U, // GLDFF1D_SXTW_REAL
13298
414k
    6958307U, // GLDFF1D_SXTW_SCALED_REAL
13299
414k
    6302947U, // GLDFF1D_UXTW_REAL
13300
414k
    7089379U, // GLDFF1D_UXTW_SCALED_REAL
13301
414k
    376319163U, // GLDFF1H_D_IMM_REAL
13302
414k
    6040803U, // GLDFF1H_D_REAL
13303
414k
    7351523U, // GLDFF1H_D_SCALED_REAL
13304
414k
    6171875U, // GLDFF1H_D_SXTW_REAL
13305
414k
    7482595U, // GLDFF1H_D_SXTW_SCALED_REAL
13306
414k
    6302947U, // GLDFF1H_D_UXTW_REAL
13307
414k
    7613667U, // GLDFF1H_D_UXTW_SCALED_REAL
13308
414k
    376319075U, // GLDFF1H_S_IMM_REAL
13309
414k
    6434019U, // GLDFF1H_S_SXTW_REAL
13310
414k
    7744739U, // GLDFF1H_S_SXTW_SCALED_REAL
13311
414k
    6565091U, // GLDFF1H_S_UXTW_REAL
13312
414k
    7875811U, // GLDFF1H_S_UXTW_SCALED_REAL
13313
414k
    371207355U, // GLDFF1SB_D_IMM_REAL
13314
414k
    6040803U, // GLDFF1SB_D_REAL
13315
414k
    6171875U, // GLDFF1SB_D_SXTW_REAL
13316
414k
    6302947U, // GLDFF1SB_D_UXTW_REAL
13317
414k
    371207267U, // GLDFF1SB_S_IMM_REAL
13318
414k
    6434019U, // GLDFF1SB_S_SXTW_REAL
13319
414k
    6565091U, // GLDFF1SB_S_UXTW_REAL
13320
414k
    376319163U, // GLDFF1SH_D_IMM_REAL
13321
414k
    6040803U, // GLDFF1SH_D_REAL
13322
414k
    7351523U, // GLDFF1SH_D_SCALED_REAL
13323
414k
    6171875U, // GLDFF1SH_D_SXTW_REAL
13324
414k
    7482595U, // GLDFF1SH_D_SXTW_SCALED_REAL
13325
414k
    6302947U, // GLDFF1SH_D_UXTW_REAL
13326
414k
    7613667U, // GLDFF1SH_D_UXTW_SCALED_REAL
13327
414k
    376319075U, // GLDFF1SH_S_IMM_REAL
13328
414k
    6434019U, // GLDFF1SH_S_SXTW_REAL
13329
414k
    7744739U, // GLDFF1SH_S_SXTW_SCALED_REAL
13330
414k
    6565091U, // GLDFF1SH_S_UXTW_REAL
13331
414k
    7875811U, // GLDFF1SH_S_UXTW_SCALED_REAL
13332
414k
    377105595U, // GLDFF1SW_D_IMM_REAL
13333
414k
    6040803U, // GLDFF1SW_D_REAL
13334
414k
    8137955U, // GLDFF1SW_D_SCALED_REAL
13335
414k
    6171875U, // GLDFF1SW_D_SXTW_REAL
13336
414k
    8269027U, // GLDFF1SW_D_SXTW_SCALED_REAL
13337
414k
    6302947U, // GLDFF1SW_D_UXTW_REAL
13338
414k
    8400099U, // GLDFF1SW_D_UXTW_SCALED_REAL
13339
414k
    377105595U, // GLDFF1W_D_IMM_REAL
13340
414k
    6040803U, // GLDFF1W_D_REAL
13341
414k
    8137955U, // GLDFF1W_D_SCALED_REAL
13342
414k
    6171875U, // GLDFF1W_D_SXTW_REAL
13343
414k
    8269027U, // GLDFF1W_D_SXTW_SCALED_REAL
13344
414k
    6302947U, // GLDFF1W_D_UXTW_REAL
13345
414k
    8400099U, // GLDFF1W_D_UXTW_SCALED_REAL
13346
414k
    377105507U, // GLDFF1W_IMM_REAL
13347
414k
    6434019U, // GLDFF1W_SXTW_REAL
13348
414k
    8531171U, // GLDFF1W_SXTW_SCALED_REAL
13349
414k
    6565091U, // GLDFF1W_UXTW_REAL
13350
414k
    8662243U, // GLDFF1W_UXTW_SCALED_REAL
13351
414k
    3160U,  // GMI
13352
414k
    0U, // HINT
13353
414k
    33691864U,  // HISTCNT_ZPzZZ_D
13354
414k
    67252440U,  // HISTCNT_ZPzZZ_S
13355
414k
    10329U, // HISTSEG_ZZZ
13356
414k
    0U, // HLT
13357
414k
    0U, // HVC
13358
414k
    2U, // INCB_XPiI
13359
414k
    2U, // INCD_XPiI
13360
414k
    2U, // INCD_ZPiI
13361
414k
    2U, // INCH_XPiI
13362
414k
    0U, // INCH_ZPiI
13363
414k
    1U, // INCP_XP_B
13364
414k
    0U, // INCP_XP_D
13365
414k
    0U, // INCP_XP_H
13366
414k
    1U, // INCP_XP_S
13367
414k
    0U, // INCP_ZP_D
13368
414k
    0U, // INCP_ZP_H
13369
414k
    0U, // INCP_ZP_S
13370
414k
    2U, // INCW_XPiI
13371
414k
    2U, // INCW_ZPiI
13372
414k
    571U, // INDEX_II_B
13373
414k
    3160U,  // INDEX_II_D
13374
414k
    3U, // INDEX_II_H
13375
414k
    3160U,  // INDEX_II_S
13376
414k
    227U, // INDEX_IR_B
13377
414k
    3160U,  // INDEX_IR_D
13378
414k
    1U, // INDEX_IR_H
13379
414k
    3160U,  // INDEX_IR_S
13380
414k
    51288U, // INDEX_RI_B
13381
414k
    3160U,  // INDEX_RI_D
13382
414k
    576U, // INDEX_RI_H
13383
414k
    3160U,  // INDEX_RI_S
13384
414k
    3160U,  // INDEX_RR_B
13385
414k
    3160U,  // INDEX_RR_D
13386
414k
    224U, // INDEX_RR_H
13387
414k
    3160U,  // INDEX_RR_S
13388
414k
    48712U, // INSERT_MXIPZ_H_B
13389
414k
    52808U, // INSERT_MXIPZ_H_D
13390
414k
    53832U, // INSERT_MXIPZ_H_H
13391
414k
    54856U, // INSERT_MXIPZ_H_Q
13392
414k
    55880U, // INSERT_MXIPZ_H_S
13393
414k
    48712U, // INSERT_MXIPZ_V_B
13394
414k
    52808U, // INSERT_MXIPZ_V_D
13395
414k
    53832U, // INSERT_MXIPZ_V_H
13396
414k
    54856U, // INSERT_MXIPZ_V_Q
13397
414k
    55880U, // INSERT_MXIPZ_V_S
13398
414k
    1U, // INSR_ZR_B
13399
414k
    1U, // INSR_ZR_D
13400
414k
    0U, // INSR_ZR_H
13401
414k
    1U, // INSR_ZR_S
13402
414k
    3U, // INSR_ZV_B
13403
414k
    3U, // INSR_ZV_D
13404
414k
    0U, // INSR_ZV_H
13405
414k
    3U, // INSR_ZV_S
13406
414k
    2U, // INSvi16gpr
13407
414k
    39315U, // INSvi16lane
13408
414k
    2U, // INSvi32gpr
13409
414k
    39323U, // INSvi32lane
13410
414k
    2U, // INSvi64gpr
13411
414k
    39331U, // INSvi64lane
13412
414k
    2U, // INSvi8gpr
13413
414k
    39339U, // INSvi8lane
13414
414k
    3160U,  // IRG
13415
414k
    0U, // ISB
13416
414k
    10328U, // LASTA_RPZ_B
13417
414k
    6232U,  // LASTA_RPZ_D
13418
414k
    5208U,  // LASTA_RPZ_H
13419
414k
    12376U, // LASTA_RPZ_S
13420
414k
    10328U, // LASTA_VPZ_B
13421
414k
    6232U,  // LASTA_VPZ_D
13422
414k
    5208U,  // LASTA_VPZ_H
13423
414k
    12376U, // LASTA_VPZ_S
13424
414k
    10328U, // LASTB_RPZ_B
13425
414k
    6232U,  // LASTB_RPZ_D
13426
414k
    5208U,  // LASTB_RPZ_H
13427
414k
    12376U, // LASTB_RPZ_S
13428
414k
    10328U, // LASTB_VPZ_B
13429
414k
    6232U,  // LASTB_VPZ_D
13430
414k
    5208U,  // LASTB_VPZ_H
13431
414k
    12376U, // LASTB_VPZ_S
13432
414k
    8793315U, // LD1B
13433
414k
    8793315U, // LD1B_2Z
13434
414k
    393096419U, // LD1B_2Z_IMM
13435
414k
    56915U, // LD1B_2Z_STRIDED
13436
414k
    57939U, // LD1B_2Z_STRIDED_IMM
13437
414k
    8793315U, // LD1B_4Z
13438
414k
    393882851U, // LD1B_4Z_IMM
13439
414k
    8793315U, // LD1B_4Z_STRIDED
13440
414k
    393882851U, // LD1B_4Z_STRIDED_IMM
13441
414k
    8793315U, // LD1B_D
13442
414k
    387984611U, // LD1B_D_IMM
13443
414k
    8793315U, // LD1B_H
13444
414k
    387984611U, // LD1B_H_IMM
13445
414k
    387984611U, // LD1B_IMM
13446
414k
    8793315U, // LD1B_S
13447
414k
    387984611U, // LD1B_S_IMM
13448
414k
    8924387U, // LD1D
13449
414k
    8924387U, // LD1D_2Z
13450
414k
    393096419U, // LD1D_2Z_IMM
13451
414k
    8924387U, // LD1D_2Z_STRIDED
13452
414k
    393096419U, // LD1D_2Z_STRIDED_IMM
13453
414k
    8924387U, // LD1D_4Z
13454
414k
    393882851U, // LD1D_4Z_IMM
13455
414k
    8924387U, // LD1D_4Z_STRIDED
13456
414k
    393882851U, // LD1D_4Z_STRIDED_IMM
13457
414k
    387984611U, // LD1D_IMM
13458
414k
    8924387U, // LD1D_Q
13459
414k
    387984611U, // LD1D_Q_IMM
13460
414k
    0U, // LD1Fourv16b
13461
414k
    0U, // LD1Fourv16b_POST
13462
414k
    0U, // LD1Fourv1d
13463
414k
    0U, // LD1Fourv1d_POST
13464
414k
    0U, // LD1Fourv2d
13465
414k
    0U, // LD1Fourv2d_POST
13466
414k
    0U, // LD1Fourv2s
13467
414k
    0U, // LD1Fourv2s_POST
13468
414k
    0U, // LD1Fourv4h
13469
414k
    0U, // LD1Fourv4h_POST
13470
414k
    0U, // LD1Fourv4s
13471
414k
    0U, // LD1Fourv4s_POST
13472
414k
    0U, // LD1Fourv8b
13473
414k
    0U, // LD1Fourv8b_POST
13474
414k
    0U, // LD1Fourv8h
13475
414k
    0U, // LD1Fourv8h_POST
13476
414k
    9055459U, // LD1H
13477
414k
    9055459U, // LD1H_2Z
13478
414k
    393096419U, // LD1H_2Z_IMM
13479
414k
    58963U, // LD1H_2Z_STRIDED
13480
414k
    57939U, // LD1H_2Z_STRIDED_IMM
13481
414k
    9055459U, // LD1H_4Z
13482
414k
    393882851U, // LD1H_4Z_IMM
13483
414k
    9055459U, // LD1H_4Z_STRIDED
13484
414k
    393882851U, // LD1H_4Z_STRIDED_IMM
13485
414k
    9055459U, // LD1H_D
13486
414k
    387984611U, // LD1H_D_IMM
13487
414k
    387984611U, // LD1H_IMM
13488
414k
    9055459U, // LD1H_S
13489
414k
    387984611U, // LD1H_S_IMM
13490
414k
    0U, // LD1Onev16b
13491
414k
    0U, // LD1Onev16b_POST
13492
414k
    0U, // LD1Onev1d
13493
414k
    0U, // LD1Onev1d_POST
13494
414k
    0U, // LD1Onev2d
13495
414k
    0U, // LD1Onev2d_POST
13496
414k
    0U, // LD1Onev2s
13497
414k
    0U, // LD1Onev2s_POST
13498
414k
    0U, // LD1Onev4h
13499
414k
    0U, // LD1Onev4h_POST
13500
414k
    0U, // LD1Onev4s
13501
414k
    0U, // LD1Onev4s_POST
13502
414k
    0U, // LD1Onev8b
13503
414k
    0U, // LD1Onev8b_POST
13504
414k
    0U, // LD1Onev8h
13505
414k
    0U, // LD1Onev8h_POST
13506
414k
    371207395U, // LD1RB_D_IMM
13507
414k
    371207395U, // LD1RB_H_IMM
13508
414k
    371207395U, // LD1RB_IMM
13509
414k
    371207395U, // LD1RB_S_IMM
13510
414k
    6696163U, // LD1RD_IMM
13511
414k
    376319203U, // LD1RH_D_IMM
13512
414k
    376319203U, // LD1RH_IMM
13513
414k
    376319203U, // LD1RH_S_IMM
13514
414k
    8793315U, // LD1RO_B
13515
414k
    9186531U, // LD1RO_B_IMM
13516
414k
    8924387U, // LD1RO_D
13517
414k
    9186531U, // LD1RO_D_IMM
13518
414k
    9055459U, // LD1RO_H
13519
414k
    9186531U, // LD1RO_H_IMM
13520
414k
    9317603U, // LD1RO_W
13521
414k
    9186531U, // LD1RO_W_IMM
13522
414k
    8793315U, // LD1RQ_B
13523
414k
    9448675U, // LD1RQ_B_IMM
13524
414k
    8924387U, // LD1RQ_D
13525
414k
    9448675U, // LD1RQ_D_IMM
13526
414k
    9055459U, // LD1RQ_H
13527
414k
    9448675U, // LD1RQ_H_IMM
13528
414k
    9317603U, // LD1RQ_W
13529
414k
    9448675U, // LD1RQ_W_IMM
13530
414k
    371207395U, // LD1RSB_D_IMM
13531
414k
    371207395U, // LD1RSB_H_IMM
13532
414k
    371207395U, // LD1RSB_S_IMM
13533
414k
    376319203U, // LD1RSH_D_IMM
13534
414k
    376319203U, // LD1RSH_S_IMM
13535
414k
    377105635U, // LD1RSW_IMM
13536
414k
    377105635U, // LD1RW_D_IMM
13537
414k
    377105635U, // LD1RW_IMM
13538
414k
    0U, // LD1Rv16b
13539
414k
    0U, // LD1Rv16b_POST
13540
414k
    0U, // LD1Rv1d
13541
414k
    0U, // LD1Rv1d_POST
13542
414k
    0U, // LD1Rv2d
13543
414k
    0U, // LD1Rv2d_POST
13544
414k
    0U, // LD1Rv2s
13545
414k
    0U, // LD1Rv2s_POST
13546
414k
    0U, // LD1Rv4h
13547
414k
    0U, // LD1Rv4h_POST
13548
414k
    0U, // LD1Rv4s
13549
414k
    0U, // LD1Rv4s_POST
13550
414k
    0U, // LD1Rv8b
13551
414k
    0U, // LD1Rv8b_POST
13552
414k
    0U, // LD1Rv8h
13553
414k
    0U, // LD1Rv8h_POST
13554
414k
    8793315U, // LD1SB_D
13555
414k
    387984611U, // LD1SB_D_IMM
13556
414k
    8793315U, // LD1SB_H
13557
414k
    387984611U, // LD1SB_H_IMM
13558
414k
    8793315U, // LD1SB_S
13559
414k
    387984611U, // LD1SB_S_IMM
13560
414k
    9055459U, // LD1SH_D
13561
414k
    387984611U, // LD1SH_D_IMM
13562
414k
    9055459U, // LD1SH_S
13563
414k
    387984611U, // LD1SH_S_IMM
13564
414k
    9317603U, // LD1SW_D
13565
414k
    387984611U, // LD1SW_D_IMM
13566
414k
    0U, // LD1Threev16b
13567
414k
    0U, // LD1Threev16b_POST
13568
414k
    0U, // LD1Threev1d
13569
414k
    0U, // LD1Threev1d_POST
13570
414k
    0U, // LD1Threev2d
13571
414k
    0U, // LD1Threev2d_POST
13572
414k
    0U, // LD1Threev2s
13573
414k
    0U, // LD1Threev2s_POST
13574
414k
    0U, // LD1Threev4h
13575
414k
    0U, // LD1Threev4h_POST
13576
414k
    0U, // LD1Threev4s
13577
414k
    0U, // LD1Threev4s_POST
13578
414k
    0U, // LD1Threev8b
13579
414k
    0U, // LD1Threev8b_POST
13580
414k
    0U, // LD1Threev8h
13581
414k
    0U, // LD1Threev8h_POST
13582
414k
    0U, // LD1Twov16b
13583
414k
    0U, // LD1Twov16b_POST
13584
414k
    0U, // LD1Twov1d
13585
414k
    0U, // LD1Twov1d_POST
13586
414k
    0U, // LD1Twov2d
13587
414k
    0U, // LD1Twov2d_POST
13588
414k
    0U, // LD1Twov2s
13589
414k
    0U, // LD1Twov2s_POST
13590
414k
    0U, // LD1Twov4h
13591
414k
    0U, // LD1Twov4h_POST
13592
414k
    0U, // LD1Twov4s
13593
414k
    0U, // LD1Twov4s_POST
13594
414k
    0U, // LD1Twov8b
13595
414k
    0U, // LD1Twov8b_POST
13596
414k
    0U, // LD1Twov8h
13597
414k
    0U, // LD1Twov8h_POST
13598
414k
    9317603U, // LD1W
13599
414k
    9317603U, // LD1W_2Z
13600
414k
    393096419U, // LD1W_2Z_IMM
13601
414k
    9317603U, // LD1W_2Z_STRIDED
13602
414k
    393096419U, // LD1W_2Z_STRIDED_IMM
13603
414k
    9317603U, // LD1W_4Z
13604
414k
    393882851U, // LD1W_4Z_IMM
13605
414k
    9317603U, // LD1W_4Z_STRIDED
13606
414k
    393882851U, // LD1W_4Z_STRIDED_IMM
13607
414k
    9317603U, // LD1W_D
13608
414k
    387984611U, // LD1W_D_IMM
13609
414k
    387984611U, // LD1W_IMM
13610
414k
    9317603U, // LD1W_Q
13611
414k
    387984611U, // LD1W_Q_IMM
13612
414k
    9628248U, // LD1_MXIPXX_H_B
13613
414k
    9759320U, // LD1_MXIPXX_H_D
13614
414k
    9890392U, // LD1_MXIPXX_H_H
13615
414k
    10021464U,  // LD1_MXIPXX_H_Q
13616
414k
    10152536U,  // LD1_MXIPXX_H_S
13617
414k
    9628248U, // LD1_MXIPXX_V_B
13618
414k
    9759320U, // LD1_MXIPXX_V_D
13619
414k
    9890392U, // LD1_MXIPXX_V_H
13620
414k
    10021464U,  // LD1_MXIPXX_V_Q
13621
414k
    10152536U,  // LD1_MXIPXX_V_S
13622
414k
    0U, // LD1i16
13623
414k
    0U, // LD1i16_POST
13624
414k
    0U, // LD1i32
13625
414k
    0U, // LD1i32_POST
13626
414k
    0U, // LD1i64
13627
414k
    0U, // LD1i64_POST
13628
414k
    0U, // LD1i8
13629
414k
    0U, // LD1i8_POST
13630
414k
    8793315U, // LD2B
13631
414k
    393096419U, // LD2B_IMM
13632
414k
    8924387U, // LD2D
13633
414k
    393096419U, // LD2D_IMM
13634
414k
    9055459U, // LD2H
13635
414k
    393096419U, // LD2H_IMM
13636
414k
    10235107U,  // LD2Q
13637
414k
    393096419U, // LD2Q_IMM
13638
414k
    0U, // LD2Rv16b
13639
414k
    0U, // LD2Rv16b_POST
13640
414k
    0U, // LD2Rv1d
13641
414k
    0U, // LD2Rv1d_POST
13642
414k
    0U, // LD2Rv2d
13643
414k
    0U, // LD2Rv2d_POST
13644
414k
    0U, // LD2Rv2s
13645
414k
    0U, // LD2Rv2s_POST
13646
414k
    0U, // LD2Rv4h
13647
414k
    0U, // LD2Rv4h_POST
13648
414k
    0U, // LD2Rv4s
13649
414k
    0U, // LD2Rv4s_POST
13650
414k
    0U, // LD2Rv8b
13651
414k
    0U, // LD2Rv8b_POST
13652
414k
    0U, // LD2Rv8h
13653
414k
    0U, // LD2Rv8h_POST
13654
414k
    0U, // LD2Twov16b
13655
414k
    0U, // LD2Twov16b_POST
13656
414k
    0U, // LD2Twov2d
13657
414k
    0U, // LD2Twov2d_POST
13658
414k
    0U, // LD2Twov2s
13659
414k
    0U, // LD2Twov2s_POST
13660
414k
    0U, // LD2Twov4h
13661
414k
    0U, // LD2Twov4h_POST
13662
414k
    0U, // LD2Twov4s
13663
414k
    0U, // LD2Twov4s_POST
13664
414k
    0U, // LD2Twov8b
13665
414k
    0U, // LD2Twov8b_POST
13666
414k
    0U, // LD2Twov8h
13667
414k
    0U, // LD2Twov8h_POST
13668
414k
    9317603U, // LD2W
13669
414k
    393096419U, // LD2W_IMM
13670
414k
    0U, // LD2i16
13671
414k
    0U, // LD2i16_POST
13672
414k
    0U, // LD2i32
13673
414k
    0U, // LD2i32_POST
13674
414k
    0U, // LD2i64
13675
414k
    0U, // LD2i64_POST
13676
414k
    0U, // LD2i8
13677
414k
    0U, // LD2i8_POST
13678
414k
    8793315U, // LD3B
13679
414k
    10366179U,  // LD3B_IMM
13680
414k
    8924387U, // LD3D
13681
414k
    10366179U,  // LD3D_IMM
13682
414k
    9055459U, // LD3H
13683
414k
    10366179U,  // LD3H_IMM
13684
414k
    10235107U,  // LD3Q
13685
414k
    10366179U,  // LD3Q_IMM
13686
414k
    0U, // LD3Rv16b
13687
414k
    0U, // LD3Rv16b_POST
13688
414k
    0U, // LD3Rv1d
13689
414k
    0U, // LD3Rv1d_POST
13690
414k
    0U, // LD3Rv2d
13691
414k
    0U, // LD3Rv2d_POST
13692
414k
    0U, // LD3Rv2s
13693
414k
    0U, // LD3Rv2s_POST
13694
414k
    0U, // LD3Rv4h
13695
414k
    0U, // LD3Rv4h_POST
13696
414k
    0U, // LD3Rv4s
13697
414k
    0U, // LD3Rv4s_POST
13698
414k
    0U, // LD3Rv8b
13699
414k
    0U, // LD3Rv8b_POST
13700
414k
    0U, // LD3Rv8h
13701
414k
    0U, // LD3Rv8h_POST
13702
414k
    0U, // LD3Threev16b
13703
414k
    0U, // LD3Threev16b_POST
13704
414k
    0U, // LD3Threev2d
13705
414k
    0U, // LD3Threev2d_POST
13706
414k
    0U, // LD3Threev2s
13707
414k
    0U, // LD3Threev2s_POST
13708
414k
    0U, // LD3Threev4h
13709
414k
    0U, // LD3Threev4h_POST
13710
414k
    0U, // LD3Threev4s
13711
414k
    0U, // LD3Threev4s_POST
13712
414k
    0U, // LD3Threev8b
13713
414k
    0U, // LD3Threev8b_POST
13714
414k
    0U, // LD3Threev8h
13715
414k
    0U, // LD3Threev8h_POST
13716
414k
    9317603U, // LD3W
13717
414k
    10366179U,  // LD3W_IMM
13718
414k
    0U, // LD3i16
13719
414k
    0U, // LD3i16_POST
13720
414k
    0U, // LD3i32
13721
414k
    0U, // LD3i32_POST
13722
414k
    0U, // LD3i64
13723
414k
    0U, // LD3i64_POST
13724
414k
    0U, // LD3i8
13725
414k
    0U, // LD3i8_POST
13726
414k
    8793315U, // LD4B
13727
414k
    393882851U, // LD4B_IMM
13728
414k
    8924387U, // LD4D
13729
414k
    393882851U, // LD4D_IMM
13730
414k
    0U, // LD4Fourv16b
13731
414k
    0U, // LD4Fourv16b_POST
13732
414k
    0U, // LD4Fourv2d
13733
414k
    0U, // LD4Fourv2d_POST
13734
414k
    0U, // LD4Fourv2s
13735
414k
    0U, // LD4Fourv2s_POST
13736
414k
    0U, // LD4Fourv4h
13737
414k
    0U, // LD4Fourv4h_POST
13738
414k
    0U, // LD4Fourv4s
13739
414k
    0U, // LD4Fourv4s_POST
13740
414k
    0U, // LD4Fourv8b
13741
414k
    0U, // LD4Fourv8b_POST
13742
414k
    0U, // LD4Fourv8h
13743
414k
    0U, // LD4Fourv8h_POST
13744
414k
    9055459U, // LD4H
13745
414k
    393882851U, // LD4H_IMM
13746
414k
    10235107U,  // LD4Q
13747
414k
    393882851U, // LD4Q_IMM
13748
414k
    0U, // LD4Rv16b
13749
414k
    0U, // LD4Rv16b_POST
13750
414k
    0U, // LD4Rv1d
13751
414k
    0U, // LD4Rv1d_POST
13752
414k
    0U, // LD4Rv2d
13753
414k
    0U, // LD4Rv2d_POST
13754
414k
    0U, // LD4Rv2s
13755
414k
    0U, // LD4Rv2s_POST
13756
414k
    0U, // LD4Rv4h
13757
414k
    0U, // LD4Rv4h_POST
13758
414k
    0U, // LD4Rv4s
13759
414k
    0U, // LD4Rv4s_POST
13760
414k
    0U, // LD4Rv8b
13761
414k
    0U, // LD4Rv8b_POST
13762
414k
    0U, // LD4Rv8h
13763
414k
    0U, // LD4Rv8h_POST
13764
414k
    9317603U, // LD4W
13765
414k
    393882851U, // LD4W_IMM
13766
414k
    0U, // LD4i16
13767
414k
    0U, // LD4i16_POST
13768
414k
    0U, // LD4i32
13769
414k
    0U, // LD4i32_POST
13770
414k
    0U, // LD4i64
13771
414k
    0U, // LD4i64_POST
13772
414k
    0U, // LD4i8
13773
414k
    0U, // LD4i8_POST
13774
414k
    0U, // LD64B
13775
414k
    3U, // LDADDAB
13776
414k
    3U, // LDADDAH
13777
414k
    3U, // LDADDALB
13778
414k
    3U, // LDADDALH
13779
414k
    3U, // LDADDALW
13780
414k
    3U, // LDADDALX
13781
414k
    3U, // LDADDAW
13782
414k
    3U, // LDADDAX
13783
414k
    3U, // LDADDB
13784
414k
    3U, // LDADDH
13785
414k
    3U, // LDADDLB
13786
414k
    3U, // LDADDLH
13787
414k
    3U, // LDADDLW
13788
414k
    3U, // LDADDLX
13789
414k
    3U, // LDADDW
13790
414k
    3U, // LDADDX
13791
414k
    0U, // LDAP1
13792
414k
    608U, // LDAPRB
13793
414k
    608U, // LDAPRH
13794
414k
    608U, // LDAPRW
13795
414k
    617U, // LDAPRWpost
13796
414k
    608U, // LDAPRX
13797
414k
    625U, // LDAPRXpost
13798
414k
    3411032U, // LDAPURBi
13799
414k
    3411032U, // LDAPURHi
13800
414k
    3411032U, // LDAPURSBWi
13801
414k
    3411032U, // LDAPURSBXi
13802
414k
    3411032U, // LDAPURSHWi
13803
414k
    3411032U, // LDAPURSHXi
13804
414k
    3411032U, // LDAPURSWi
13805
414k
    3411032U, // LDAPURXi
13806
414k
    3411032U, // LDAPURbi
13807
414k
    3411032U, // LDAPURdi
13808
414k
    3411032U, // LDAPURhi
13809
414k
    3411032U, // LDAPURi
13810
414k
    3411032U, // LDAPURqi
13811
414k
    3411032U, // LDAPURsi
13812
414k
    608U, // LDARB
13813
414k
    608U, // LDARH
13814
414k
    608U, // LDARW
13815
414k
    608U, // LDARX
13816
414k
    3411216U, // LDAXPW
13817
414k
    3411216U, // LDAXPX
13818
414k
    608U, // LDAXRB
13819
414k
    608U, // LDAXRH
13820
414k
    608U, // LDAXRW
13821
414k
    608U, // LDAXRX
13822
414k
    3U, // LDCLRAB
13823
414k
    3U, // LDCLRAH
13824
414k
    3U, // LDCLRALB
13825
414k
    3U, // LDCLRALH
13826
414k
    3U, // LDCLRALW
13827
414k
    3U, // LDCLRALX
13828
414k
    3U, // LDCLRAW
13829
414k
    3U, // LDCLRAX
13830
414k
    3U, // LDCLRB
13831
414k
    3U, // LDCLRH
13832
414k
    3U, // LDCLRLB
13833
414k
    3U, // LDCLRLH
13834
414k
    3U, // LDCLRLW
13835
414k
    3U, // LDCLRLX
13836
414k
    60690U, // LDCLRP
13837
414k
    60690U, // LDCLRPA
13838
414k
    60690U, // LDCLRPAL
13839
414k
    60690U, // LDCLRPL
13840
414k
    3U, // LDCLRW
13841
414k
    3U, // LDCLRX
13842
414k
    3U, // LDEORAB
13843
414k
    3U, // LDEORAH
13844
414k
    3U, // LDEORALB
13845
414k
    3U, // LDEORALH
13846
414k
    3U, // LDEORALW
13847
414k
    3U, // LDEORALX
13848
414k
    3U, // LDEORAW
13849
414k
    3U, // LDEORAX
13850
414k
    3U, // LDEORB
13851
414k
    3U, // LDEORH
13852
414k
    3U, // LDEORLB
13853
414k
    3U, // LDEORLH
13854
414k
    3U, // LDEORLW
13855
414k
    3U, // LDEORLX
13856
414k
    3U, // LDEORW
13857
414k
    3U, // LDEORX
13858
414k
    8793315U, // LDFF1B_D_REAL
13859
414k
    8793315U, // LDFF1B_H_REAL
13860
414k
    8793315U, // LDFF1B_REAL
13861
414k
    8793315U, // LDFF1B_S_REAL
13862
414k
    8924387U, // LDFF1D_REAL
13863
414k
    9055459U, // LDFF1H_D_REAL
13864
414k
    9055459U, // LDFF1H_REAL
13865
414k
    9055459U, // LDFF1H_S_REAL
13866
414k
    8793315U, // LDFF1SB_D_REAL
13867
414k
    8793315U, // LDFF1SB_H_REAL
13868
414k
    8793315U, // LDFF1SB_S_REAL
13869
414k
    9055459U, // LDFF1SH_D_REAL
13870
414k
    9055459U, // LDFF1SH_S_REAL
13871
414k
    9317603U, // LDFF1SW_D_REAL
13872
414k
    9317603U, // LDFF1W_D_REAL
13873
414k
    9317603U, // LDFF1W_REAL
13874
414k
    3469401U, // LDG
13875
414k
    608U, // LDGM
13876
414k
    3411216U, // LDIAPPW
13877
414k
    10526993U,  // LDIAPPWpost
13878
414k
    3411216U, // LDIAPPX
13879
414k
    10658065U,  // LDIAPPXpost
13880
414k
    608U, // LDLARB
13881
414k
    608U, // LDLARH
13882
414k
    608U, // LDLARW
13883
414k
    608U, // LDLARX
13884
414k
    387984611U, // LDNF1B_D_IMM_REAL
13885
414k
    387984611U, // LDNF1B_H_IMM_REAL
13886
414k
    387984611U, // LDNF1B_IMM_REAL
13887
414k
    387984611U, // LDNF1B_S_IMM_REAL
13888
414k
    387984611U, // LDNF1D_IMM_REAL
13889
414k
    387984611U, // LDNF1H_D_IMM_REAL
13890
414k
    387984611U, // LDNF1H_IMM_REAL
13891
414k
    387984611U, // LDNF1H_S_IMM_REAL
13892
414k
    387984611U, // LDNF1SB_D_IMM_REAL
13893
414k
    387984611U, // LDNF1SB_H_IMM_REAL
13894
414k
    387984611U, // LDNF1SB_S_IMM_REAL
13895
414k
    387984611U, // LDNF1SH_D_IMM_REAL
13896
414k
    387984611U, // LDNF1SH_S_IMM_REAL
13897
414k
    387984611U, // LDNF1SW_D_IMM_REAL
13898
414k
    387984611U, // LDNF1W_D_IMM_REAL
13899
414k
    387984611U, // LDNF1W_IMM_REAL
13900
414k
    402787600U, // LDNPDi
13901
414k
    419564816U, // LDNPQi
13902
414k
    436342032U, // LDNPSi
13903
414k
    436342032U, // LDNPWi
13904
414k
    402787600U, // LDNPXi
13905
414k
    8793315U, // LDNT1B_2Z
13906
414k
    393096419U, // LDNT1B_2Z_IMM
13907
414k
    56915U, // LDNT1B_2Z_STRIDED
13908
414k
    57939U, // LDNT1B_2Z_STRIDED_IMM
13909
414k
    8793315U, // LDNT1B_4Z
13910
414k
    393882851U, // LDNT1B_4Z_IMM
13911
414k
    8793315U, // LDNT1B_4Z_STRIDED
13912
414k
    393882851U, // LDNT1B_4Z_STRIDED_IMM
13913
414k
    387984611U, // LDNT1B_ZRI
13914
414k
    8793315U, // LDNT1B_ZRR
13915
414k
    371207355U, // LDNT1B_ZZR_D_REAL
13916
414k
    371207267U, // LDNT1B_ZZR_S_REAL
13917
414k
    8924387U, // LDNT1D_2Z
13918
414k
    393096419U, // LDNT1D_2Z_IMM
13919
414k
    8924387U, // LDNT1D_2Z_STRIDED
13920
414k
    393096419U, // LDNT1D_2Z_STRIDED_IMM
13921
414k
    8924387U, // LDNT1D_4Z
13922
414k
    393882851U, // LDNT1D_4Z_IMM
13923
414k
    8924387U, // LDNT1D_4Z_STRIDED
13924
414k
    393882851U, // LDNT1D_4Z_STRIDED_IMM
13925
414k
    387984611U, // LDNT1D_ZRI
13926
414k
    8924387U, // LDNT1D_ZRR
13927
414k
    371207355U, // LDNT1D_ZZR_D_REAL
13928
414k
    9055459U, // LDNT1H_2Z
13929
414k
    393096419U, // LDNT1H_2Z_IMM
13930
414k
    58963U, // LDNT1H_2Z_STRIDED
13931
414k
    57939U, // LDNT1H_2Z_STRIDED_IMM
13932
414k
    9055459U, // LDNT1H_4Z
13933
414k
    393882851U, // LDNT1H_4Z_IMM
13934
414k
    9055459U, // LDNT1H_4Z_STRIDED
13935
414k
    393882851U, // LDNT1H_4Z_STRIDED_IMM
13936
414k
    387984611U, // LDNT1H_ZRI
13937
414k
    9055459U, // LDNT1H_ZRR
13938
414k
    371207355U, // LDNT1H_ZZR_D_REAL
13939
414k
    371207267U, // LDNT1H_ZZR_S_REAL
13940
414k
    371207355U, // LDNT1SB_ZZR_D_REAL
13941
414k
    371207267U, // LDNT1SB_ZZR_S_REAL
13942
414k
    371207355U, // LDNT1SH_ZZR_D_REAL
13943
414k
    371207267U, // LDNT1SH_ZZR_S_REAL
13944
414k
    371207355U, // LDNT1SW_ZZR_D_REAL
13945
414k
    9317603U, // LDNT1W_2Z
13946
414k
    393096419U, // LDNT1W_2Z_IMM
13947
414k
    9317603U, // LDNT1W_2Z_STRIDED
13948
414k
    393096419U, // LDNT1W_2Z_STRIDED_IMM
13949
414k
    9317603U, // LDNT1W_4Z
13950
414k
    393882851U, // LDNT1W_4Z_IMM
13951
414k
    9317603U, // LDNT1W_4Z_STRIDED
13952
414k
    393882851U, // LDNT1W_4Z_STRIDED_IMM
13953
414k
    387984611U, // LDNT1W_ZRI
13954
414k
    9317603U, // LDNT1W_ZRR
13955
414k
    371207355U, // LDNT1W_ZZR_D_REAL
13956
414k
    371207267U, // LDNT1W_ZZR_S_REAL
13957
414k
    402787600U, // LDPDi
13958
414k
    463773969U, // LDPDpost
13959
414k
    453157137U, // LDPDpre
13960
414k
    419564816U, // LDPQi
13961
414k
    480551185U, // LDPQpost
13962
414k
    469934353U, // LDPQpre
13963
414k
    436342032U, // LDPSWi
13964
414k
    497328401U, // LDPSWpost
13965
414k
    486711569U, // LDPSWpre
13966
414k
    436342032U, // LDPSi
13967
414k
    497328401U, // LDPSpost
13968
414k
    486711569U, // LDPSpre
13969
414k
    436342032U, // LDPWi
13970
414k
    497328401U, // LDPWpost
13971
414k
    486711569U, // LDPWpre
13972
414k
    402787600U, // LDPXi
13973
414k
    463773969U, // LDPXpost
13974
414k
    453157137U, // LDPXpre
13975
414k
    62552U, // LDRAAindexed
13976
414k
    63577U, // LDRAAwriteback
13977
414k
    62552U, // LDRABindexed
13978
414k
    63577U, // LDRABwriteback
13979
414k
    41593U, // LDRBBpost
13980
414k
    10920025U,  // LDRBBpre
13981
414k
    503450712U, // LDRBBroW
13982
414k
    520227928U, // LDRBBroX
13983
414k
    64600U, // LDRBBui
13984
414k
    41593U, // LDRBpost
13985
414k
    10920025U,  // LDRBpre
13986
414k
    503450712U, // LDRBroW
13987
414k
    520227928U, // LDRBroX
13988
414k
    64600U, // LDRBui
13989
414k
    1U, // LDRDl
13990
414k
    41593U, // LDRDpost
13991
414k
    10920025U,  // LDRDpre
13992
414k
    537005144U, // LDRDroW
13993
414k
    553782360U, // LDRDroX
13994
414k
    65624U, // LDRDui
13995
414k
    41593U, // LDRHHpost
13996
414k
    10920025U,  // LDRHHpre
13997
414k
    570559576U, // LDRHHroW
13998
414k
    587336792U, // LDRHHroX
13999
414k
    66648U, // LDRHHui
14000
414k
    41593U, // LDRHpost
14001
414k
    10920025U,  // LDRHpre
14002
414k
    570559576U, // LDRHroW
14003
414k
    587336792U, // LDRHroX
14004
414k
    66648U, // LDRHui
14005
414k
    1U, // LDRQl
14006
414k
    41593U, // LDRQpost
14007
414k
    10920025U,  // LDRQpre
14008
414k
    604114008U, // LDRQroW
14009
414k
    620891224U, // LDRQroX
14010
414k
    67672U, // LDRQui
14011
414k
    41593U, // LDRSBWpost
14012
414k
    10920025U,  // LDRSBWpre
14013
414k
    503450712U, // LDRSBWroW
14014
414k
    520227928U, // LDRSBWroX
14015
414k
    64600U, // LDRSBWui
14016
414k
    41593U, // LDRSBXpost
14017
414k
    10920025U,  // LDRSBXpre
14018
414k
    503450712U, // LDRSBXroW
14019
414k
    520227928U, // LDRSBXroX
14020
414k
    64600U, // LDRSBXui
14021
414k
    41593U, // LDRSHWpost
14022
414k
    10920025U,  // LDRSHWpre
14023
414k
    570559576U, // LDRSHWroW
14024
414k
    587336792U, // LDRSHWroX
14025
414k
    66648U, // LDRSHWui
14026
414k
    41593U, // LDRSHXpost
14027
414k
    10920025U,  // LDRSHXpre
14028
414k
    570559576U, // LDRSHXroW
14029
414k
    587336792U, // LDRSHXroX
14030
414k
    66648U, // LDRSHXui
14031
414k
    1U, // LDRSWl
14032
414k
    41593U, // LDRSWpost
14033
414k
    10920025U,  // LDRSWpre
14034
414k
    637668440U, // LDRSWroW
14035
414k
    654445656U, // LDRSWroX
14036
414k
    68696U, // LDRSWui
14037
414k
    1U, // LDRSl
14038
414k
    41593U, // LDRSpost
14039
414k
    10920025U,  // LDRSpre
14040
414k
    637668440U, // LDRSroW
14041
414k
    654445656U, // LDRSroX
14042
414k
    68696U, // LDRSui
14043
414k
    1U, // LDRWl
14044
414k
    41593U, // LDRWpost
14045
414k
    10920025U,  // LDRWpre
14046
414k
    637668440U, // LDRWroW
14047
414k
    654445656U, // LDRWroX
14048
414k
    68696U, // LDRWui
14049
414k
    1U, // LDRXl
14050
414k
    41593U, // LDRXpost
14051
414k
    10920025U,  // LDRXpre
14052
414k
    537005144U, // LDRXroW
14053
414k
    553782360U, // LDRXroX
14054
414k
    65624U, // LDRXui
14055
414k
    11013208U,  // LDR_PXI
14056
414k
    608U, // LDR_TX
14057
414k
    0U, // LDR_ZA
14058
414k
    11013208U,  // LDR_ZXI
14059
414k
    3U, // LDSETAB
14060
414k
    3U, // LDSETAH
14061
414k
    3U, // LDSETALB
14062
414k
    3U, // LDSETALH
14063
414k
    3U, // LDSETALW
14064
414k
    3U, // LDSETALX
14065
414k
    3U, // LDSETAW
14066
414k
    3U, // LDSETAX
14067
414k
    3U, // LDSETB
14068
414k
    3U, // LDSETH
14069
414k
    3U, // LDSETLB
14070
414k
    3U, // LDSETLH
14071
414k
    3U, // LDSETLW
14072
414k
    3U, // LDSETLX
14073
414k
    60690U, // LDSETP
14074
414k
    60690U, // LDSETPA
14075
414k
    60690U, // LDSETPAL
14076
414k
    60690U, // LDSETPL
14077
414k
    3U, // LDSETW
14078
414k
    3U, // LDSETX
14079
414k
    3U, // LDSMAXAB
14080
414k
    3U, // LDSMAXAH
14081
414k
    3U, // LDSMAXALB
14082
414k
    3U, // LDSMAXALH
14083
414k
    3U, // LDSMAXALW
14084
414k
    3U, // LDSMAXALX
14085
414k
    3U, // LDSMAXAW
14086
414k
    3U, // LDSMAXAX
14087
414k
    3U, // LDSMAXB
14088
414k
    3U, // LDSMAXH
14089
414k
    3U, // LDSMAXLB
14090
414k
    3U, // LDSMAXLH
14091
414k
    3U, // LDSMAXLW
14092
414k
    3U, // LDSMAXLX
14093
414k
    3U, // LDSMAXW
14094
414k
    3U, // LDSMAXX
14095
414k
    3U, // LDSMINAB
14096
414k
    3U, // LDSMINAH
14097
414k
    3U, // LDSMINALB
14098
414k
    3U, // LDSMINALH
14099
414k
    3U, // LDSMINALW
14100
414k
    3U, // LDSMINALX
14101
414k
    3U, // LDSMINAW
14102
414k
    3U, // LDSMINAX
14103
414k
    3U, // LDSMINB
14104
414k
    3U, // LDSMINH
14105
414k
    3U, // LDSMINLB
14106
414k
    3U, // LDSMINLH
14107
414k
    3U, // LDSMINLW
14108
414k
    3U, // LDSMINLX
14109
414k
    3U, // LDSMINW
14110
414k
    3U, // LDSMINX
14111
414k
    3411032U, // LDTRBi
14112
414k
    3411032U, // LDTRHi
14113
414k
    3411032U, // LDTRSBWi
14114
414k
    3411032U, // LDTRSBXi
14115
414k
    3411032U, // LDTRSHWi
14116
414k
    3411032U, // LDTRSHXi
14117
414k
    3411032U, // LDTRSWi
14118
414k
    3411032U, // LDTRWi
14119
414k
    3411032U, // LDTRXi
14120
414k
    3U, // LDUMAXAB
14121
414k
    3U, // LDUMAXAH
14122
414k
    3U, // LDUMAXALB
14123
414k
    3U, // LDUMAXALH
14124
414k
    3U, // LDUMAXALW
14125
414k
    3U, // LDUMAXALX
14126
414k
    3U, // LDUMAXAW
14127
414k
    3U, // LDUMAXAX
14128
414k
    3U, // LDUMAXB
14129
414k
    3U, // LDUMAXH
14130
414k
    3U, // LDUMAXLB
14131
414k
    3U, // LDUMAXLH
14132
414k
    3U, // LDUMAXLW
14133
414k
    3U, // LDUMAXLX
14134
414k
    3U, // LDUMAXW
14135
414k
    3U, // LDUMAXX
14136
414k
    3U, // LDUMINAB
14137
414k
    3U, // LDUMINAH
14138
414k
    3U, // LDUMINALB
14139
414k
    3U, // LDUMINALH
14140
414k
    3U, // LDUMINALW
14141
414k
    3U, // LDUMINALX
14142
414k
    3U, // LDUMINAW
14143
414k
    3U, // LDUMINAX
14144
414k
    3U, // LDUMINB
14145
414k
    3U, // LDUMINH
14146
414k
    3U, // LDUMINLB
14147
414k
    3U, // LDUMINLH
14148
414k
    3U, // LDUMINLW
14149
414k
    3U, // LDUMINLX
14150
414k
    3U, // LDUMINW
14151
414k
    3U, // LDUMINX
14152
414k
    3411032U, // LDURBBi
14153
414k
    3411032U, // LDURBi
14154
414k
    3411032U, // LDURDi
14155
414k
    3411032U, // LDURHHi
14156
414k
    3411032U, // LDURHi
14157
414k
    3411032U, // LDURQi
14158
414k
    3411032U, // LDURSBWi
14159
414k
    3411032U, // LDURSBXi
14160
414k
    3411032U, // LDURSHWi
14161
414k
    3411032U, // LDURSHXi
14162
414k
    3411032U, // LDURSWi
14163
414k
    3411032U, // LDURSi
14164
414k
    3411032U, // LDURWi
14165
414k
    3411032U, // LDURXi
14166
414k
    3411216U, // LDXPW
14167
414k
    3411216U, // LDXPX
14168
414k
    608U, // LDXRB
14169
414k
    608U, // LDXRH
14170
414k
    608U, // LDXRW
14171
414k
    608U, // LDXRX
14172
414k
    16918656U,  // LSLR_ZPmZ_B
14173
414k
    33691776U,  // LSLR_ZPmZ_D
14174
414k
    51129480U,  // LSLR_ZPmZ_H
14175
414k
    67252352U,  // LSLR_ZPmZ_S
14176
414k
    3160U,  // LSLVWr
14177
414k
    3160U,  // LSLVXr
14178
414k
    33695872U,  // LSL_WIDE_ZPmZ_B
14179
414k
    2239624U, // LSL_WIDE_ZPmZ_H
14180
414k
    33697920U,  // LSL_WIDE_ZPmZ_S
14181
414k
    6233U,  // LSL_WIDE_ZZZ_B
14182
414k
    184U, // LSL_WIDE_ZZZ_H
14183
414k
    6233U,  // LSL_WIDE_ZZZ_S
14184
414k
    141440U,  // LSL_ZPmI_B
14185
414k
    137344U,  // LSL_ZPmI_D
14186
414k
    52440200U,  // LSL_ZPmI_H
14187
414k
    143488U,  // LSL_ZPmI_S
14188
414k
    16918656U,  // LSL_ZPmZ_B
14189
414k
    33691776U,  // LSL_ZPmZ_D
14190
414k
    51129480U,  // LSL_ZPmZ_H
14191
414k
    67252352U,  // LSL_ZPmZ_S
14192
414k
    3161U,  // LSL_ZZI_B
14193
414k
    3160U,  // LSL_ZZI_D
14194
414k
    224U, // LSL_ZZI_H
14195
414k
    3161U,  // LSL_ZZI_S
14196
414k
    16918656U,  // LSRR_ZPmZ_B
14197
414k
    33691776U,  // LSRR_ZPmZ_D
14198
414k
    51129480U,  // LSRR_ZPmZ_H
14199
414k
    67252352U,  // LSRR_ZPmZ_S
14200
414k
    3160U,  // LSRVWr
14201
414k
    3160U,  // LSRVXr
14202
414k
    33695872U,  // LSR_WIDE_ZPmZ_B
14203
414k
    2239624U, // LSR_WIDE_ZPmZ_H
14204
414k
    33697920U,  // LSR_WIDE_ZPmZ_S
14205
414k
    6233U,  // LSR_WIDE_ZZZ_B
14206
414k
    184U, // LSR_WIDE_ZZZ_H
14207
414k
    6233U,  // LSR_WIDE_ZZZ_S
14208
414k
    141440U,  // LSR_ZPmI_B
14209
414k
    137344U,  // LSR_ZPmI_D
14210
414k
    52440200U,  // LSR_ZPmI_H
14211
414k
    143488U,  // LSR_ZPmI_S
14212
414k
    16918656U,  // LSR_ZPmZ_B
14213
414k
    33691776U,  // LSR_ZPmZ_D
14214
414k
    51129480U,  // LSR_ZPmZ_H
14215
414k
    67252352U,  // LSR_ZPmZ_S
14216
414k
    3161U,  // LSR_ZZI_B
14217
414k
    3160U,  // LSR_ZZI_D
14218
414k
    224U, // LSR_ZZI_H
14219
414k
    3161U,  // LSR_ZZI_S
14220
414k
    643U, // LUT2v16f8
14221
414k
    3U, // LUT2v8f16
14222
414k
    643U, // LUT4v16f8
14223
414k
    3U, // LUT4v8f16
14224
414k
    648U, // LUTI2_2ZTZI_B
14225
414k
    648U, // LUTI2_2ZTZI_H
14226
414k
    648U, // LUTI2_2ZTZI_S
14227
414k
    648U, // LUTI2_4ZTZI_B
14228
414k
    648U, // LUTI2_4ZTZI_H
14229
414k
    648U, // LUTI2_4ZTZI_S
14230
414k
    69720U, // LUTI2_S_2ZTZI_B
14231
414k
    69720U, // LUTI2_S_2ZTZI_H
14232
414k
    648U, // LUTI2_S_4ZTZI_B
14233
414k
    648U, // LUTI2_S_4ZTZI_H
14234
414k
    69720U, // LUTI2_ZTZI_B
14235
414k
    648U, // LUTI2_ZTZI_H
14236
414k
    69720U, // LUTI2_ZTZI_S
14237
414k
    650U, // LUTI2_ZZZI_B
14238
414k
    648U, // LUTI2_ZZZI_H
14239
414k
    648U, // LUTI4_2ZTZI_B
14240
414k
    648U, // LUTI4_2ZTZI_H
14241
414k
    648U, // LUTI4_2ZTZI_S
14242
414k
    648U, // LUTI4_4ZTZI_H
14243
414k
    648U, // LUTI4_4ZTZI_S
14244
414k
    656U, // LUTI4_4ZZT2Z
14245
414k
    69720U, // LUTI4_S_2ZTZI_B
14246
414k
    69720U, // LUTI4_S_2ZTZI_H
14247
414k
    648U, // LUTI4_S_4ZTZI_H
14248
414k
    656U, // LUTI4_S_4ZZT2Z
14249
414k
    648U, // LUTI4_Z2ZZI_H
14250
414k
    69720U, // LUTI4_ZTZI_B
14251
414k
    648U, // LUTI4_ZTZI_H
14252
414k
    69720U, // LUTI4_ZTZI_S
14253
414k
    650U, // LUTI4_ZZZI_B
14254
414k
    648U, // LUTI4_ZZZI_H
14255
414k
    134232U,  // MADDPT
14256
414k
    134232U,  // MADDWrrr
14257
414k
    134232U,  // MADDXrrr
14258
414k
    1112U,  // MAD_CPA
14259
414k
    70784U, // MAD_ZPmZZ_B
14260
414k
    285344896U, // MAD_ZPmZZ_D
14261
414k
    53488880U,  // MAD_ZPmZZ_H
14262
414k
    302123136U, // MAD_ZPmZZ_S
14263
414k
    16918744U,  // MATCH_PPzZZ_B
14264
414k
    51129481U,  // MATCH_PPzZZ_H
14265
414k
    1112U,  // MLA_CPA
14266
414k
    70784U, // MLA_ZPmZZ_B
14267
414k
    285344896U, // MLA_ZPmZZ_D
14268
414k
    53488880U,  // MLA_ZPmZZ_H
14269
414k
    302123136U, // MLA_ZPmZZ_S
14270
414k
    53216344U,  // MLA_ZZZI_D
14271
414k
    39152U, // MLA_ZZZI_H
14272
414k
    53217368U,  // MLA_ZZZI_S
14273
414k
    926864U,  // MLAv16i8
14274
414k
    1057944U, // MLAv2i32
14275
414k
    122299544U, // MLAv2i32_indexed
14276
414k
    1189024U, // MLAv4i16
14277
414k
    120464544U, // MLAv4i16_indexed
14278
414k
    402544U,  // MLAv4i32
14279
414k
    122299504U, // MLAv4i32_indexed
14280
414k
    533624U,  // MLAv8i16
14281
414k
    120464504U, // MLAv8i16_indexed
14282
414k
    1320104U, // MLAv8i8
14283
414k
    70784U, // MLS_ZPmZZ_B
14284
414k
    285344896U, // MLS_ZPmZZ_D
14285
414k
    53488880U,  // MLS_ZPmZZ_H
14286
414k
    302123136U, // MLS_ZPmZZ_S
14287
414k
    53216344U,  // MLS_ZZZI_D
14288
414k
    39152U, // MLS_ZZZI_H
14289
414k
    53217368U,  // MLS_ZZZI_S
14290
414k
    926864U,  // MLSv16i8
14291
414k
    1057944U, // MLSv2i32
14292
414k
    122299544U, // MLSv2i32_indexed
14293
414k
    1189024U, // MLSv4i16
14294
414k
    120464544U, // MLSv4i16_indexed
14295
414k
    402544U,  // MLSv4i32
14296
414k
    122299504U, // MLSv4i32_indexed
14297
414k
    533624U,  // MLSv8i16
14298
414k
    120464504U, // MLSv8i16_indexed
14299
414k
    1320104U, // MLSv8i8
14300
414k
    0U, // MOPSSETGE
14301
414k
    0U, // MOPSSETGEN
14302
414k
    0U, // MOPSSETGET
14303
414k
    0U, // MOPSSETGETN
14304
414k
    3U, // MOVAZ_2ZMI_H_B
14305
414k
    3U, // MOVAZ_2ZMI_H_D
14306
414k
    3U, // MOVAZ_2ZMI_H_H
14307
414k
    3U, // MOVAZ_2ZMI_H_S
14308
414k
    3U, // MOVAZ_2ZMI_V_B
14309
414k
    3U, // MOVAZ_2ZMI_V_D
14310
414k
    3U, // MOVAZ_2ZMI_V_H
14311
414k
    3U, // MOVAZ_2ZMI_V_S
14312
414k
    3U, // MOVAZ_4ZMI_H_B
14313
414k
    3U, // MOVAZ_4ZMI_H_D
14314
414k
    3U, // MOVAZ_4ZMI_H_H
14315
414k
    3U, // MOVAZ_4ZMI_H_S
14316
414k
    3U, // MOVAZ_4ZMI_V_B
14317
414k
    3U, // MOVAZ_4ZMI_V_D
14318
414k
    3U, // MOVAZ_4ZMI_V_H
14319
414k
    3U, // MOVAZ_4ZMI_V_S
14320
414k
    3U, // MOVAZ_VG2_2ZM
14321
414k
    3U, // MOVAZ_VG4_4ZM
14322
414k
    4U, // MOVAZ_ZMI_H_B
14323
414k
    4U, // MOVAZ_ZMI_H_D
14324
414k
    71770U, // MOVAZ_ZMI_H_H
14325
414k
    71770U, // MOVAZ_ZMI_H_Q
14326
414k
    4U, // MOVAZ_ZMI_H_S
14327
414k
    4U, // MOVAZ_ZMI_V_B
14328
414k
    4U, // MOVAZ_ZMI_V_D
14329
414k
    71770U, // MOVAZ_ZMI_V_H
14330
414k
    71770U, // MOVAZ_ZMI_V_Q
14331
414k
    4U, // MOVAZ_ZMI_V_S
14332
414k
    72793U, // MOVA_2ZMXI_H_B
14333
414k
    72793U, // MOVA_2ZMXI_H_D
14334
414k
    72793U, // MOVA_2ZMXI_H_H
14335
414k
    72793U, // MOVA_2ZMXI_H_S
14336
414k
    72793U, // MOVA_2ZMXI_V_B
14337
414k
    72793U, // MOVA_2ZMXI_V_D
14338
414k
    72793U, // MOVA_2ZMXI_V_H
14339
414k
    72793U, // MOVA_2ZMXI_V_S
14340
414k
    73817U, // MOVA_4ZMXI_H_B
14341
414k
    73817U, // MOVA_4ZMXI_H_D
14342
414k
    73817U, // MOVA_4ZMXI_H_H
14343
414k
    73817U, // MOVA_4ZMXI_H_S
14344
414k
    73817U, // MOVA_4ZMXI_V_B
14345
414k
    73817U, // MOVA_4ZMXI_V_D
14346
414k
    73817U, // MOVA_4ZMXI_V_H
14347
414k
    73817U, // MOVA_4ZMXI_V_S
14348
414k
    75416U, // MOVA_MXI2Z_H_B
14349
414k
    76440U, // MOVA_MXI2Z_H_D
14350
414k
    77464U, // MOVA_MXI2Z_H_H
14351
414k
    78488U, // MOVA_MXI2Z_H_S
14352
414k
    75416U, // MOVA_MXI2Z_V_B
14353
414k
    76440U, // MOVA_MXI2Z_V_D
14354
414k
    77464U, // MOVA_MXI2Z_V_H
14355
414k
    78488U, // MOVA_MXI2Z_V_S
14356
414k
    75424U, // MOVA_MXI4Z_H_B
14357
414k
    76448U, // MOVA_MXI4Z_H_D
14358
414k
    77472U, // MOVA_MXI4Z_H_H
14359
414k
    78496U, // MOVA_MXI4Z_H_S
14360
414k
    75424U, // MOVA_MXI4Z_V_B
14361
414k
    76448U, // MOVA_MXI4Z_V_D
14362
414k
    77472U, // MOVA_MXI4Z_V_H
14363
414k
    78496U, // MOVA_MXI4Z_V_S
14364
414k
    3U, // MOVA_VG2_2ZMXI
14365
414k
    192U, // MOVA_VG2_MXI2Z
14366
414k
    3U, // MOVA_VG4_4ZMXI
14367
414k
    192U, // MOVA_VG4_MXI4Z
14368
414k
    4U, // MOVID
14369
414k
    4U, // MOVIv16b_ns
14370
414k
    4U, // MOVIv2d_ns
14371
414k
    684U, // MOVIv2i32
14372
414k
    684U, // MOVIv2s_msl
14373
414k
    684U, // MOVIv4i16
14374
414k
    684U, // MOVIv4i32
14375
414k
    684U, // MOVIv4s_msl
14376
414k
    4U, // MOVIv8b_ns
14377
414k
    684U, // MOVIv8i16
14378
414k
    1U, // MOVKWi
14379
414k
    1U, // MOVKXi
14380
414k
    684U, // MOVNWi
14381
414k
    684U, // MOVNXi
14382
414k
    8U, // MOVPRFX_ZPmZ_B
14383
414k
    16U,  // MOVPRFX_ZPmZ_D
14384
414k
    0U, // MOVPRFX_ZPmZ_H
14385
414k
    24U,  // MOVPRFX_ZPmZ_S
14386
414k
    10456U, // MOVPRFX_ZPzZ_B
14387
414k
    6360U,  // MOVPRFX_ZPzZ_D
14388
414k
    137U, // MOVPRFX_ZPzZ_H
14389
414k
    12504U, // MOVPRFX_ZPzZ_S
14390
414k
    0U, // MOVPRFX_ZZ
14391
414k
    4U, // MOVT
14392
414k
    4U, // MOVT_TIX
14393
414k
    688U, // MOVT_XTI
14394
414k
    684U, // MOVZWi
14395
414k
    684U, // MOVZXi
14396
414k
    0U, // MRRS
14397
414k
    4U, // MRS
14398
414k
    70784U, // MSB_ZPmZZ_B
14399
414k
    285344896U, // MSB_ZPmZZ_D
14400
414k
    53488880U,  // MSB_ZPmZZ_H
14401
414k
    302123136U, // MSB_ZPmZZ_S
14402
414k
    0U, // MSR
14403
414k
    0U, // MSRR
14404
414k
    0U, // MSRpstateImm1
14405
414k
    0U, // MSRpstateImm4
14406
414k
    0U, // MSRpstatesvcrImm1
14407
414k
    134232U,  // MSUBPT
14408
414k
    134232U,  // MSUBWrrr
14409
414k
    134232U,  // MSUBXrrr
14410
414k
    3161U,  // MUL_ZI_B
14411
414k
    3160U,  // MUL_ZI_D
14412
414k
    224U, // MUL_ZI_H
14413
414k
    3161U,  // MUL_ZI_S
14414
414k
    16918656U,  // MUL_ZPmZ_B
14415
414k
    33691776U,  // MUL_ZPmZ_D
14416
414k
    51129480U,  // MUL_ZPmZ_H
14417
414k
    67252352U,  // MUL_ZPmZ_S
14418
414k
    5904472U, // MUL_ZZZI_D
14419
414k
    40072U, // MUL_ZZZI_H
14420
414k
    5910617U, // MUL_ZZZI_S
14421
414k
    10329U, // MUL_ZZZ_B
14422
414k
    6232U,  // MUL_ZZZ_D
14423
414k
    136U, // MUL_ZZZ_H
14424
414k
    12377U, // MUL_ZZZ_S
14425
414k
    925840U,  // MULv16i8
14426
414k
    1056920U, // MULv2i32
14427
414k
    340402328U, // MULv2i32_indexed
14428
414k
    1188000U, // MULv4i16
14429
414k
    338567328U, // MULv4i16_indexed
14430
414k
    401520U,  // MULv4i32
14431
414k
    340402288U, // MULv4i32_indexed
14432
414k
    532600U,  // MULv8i16
14433
414k
    338567288U, // MULv8i16_indexed
14434
414k
    1319080U, // MULv8i8
14435
414k
    684U, // MVNIv2i32
14436
414k
    684U, // MVNIv2s_msl
14437
414k
    684U, // MVNIv4i16
14438
414k
    684U, // MVNIv4i32
14439
414k
    684U, // MVNIv4s_msl
14440
414k
    684U, // MVNIv8i16
14441
414k
    16918744U,  // NANDS_PPzPP
14442
414k
    16918744U,  // NAND_PPzPP
14443
414k
    33691736U,  // NBSL_ZZZZ
14444
414k
    8U, // NEG_ZPmZ_B
14445
414k
    16U,  // NEG_ZPmZ_D
14446
414k
    0U, // NEG_ZPmZ_H
14447
414k
    24U,  // NEG_ZPmZ_S
14448
414k
    32U,  // NEGv16i8
14449
414k
    0U, // NEGv1i64
14450
414k
    40U,  // NEGv2i32
14451
414k
    48U,  // NEGv2i64
14452
414k
    56U,  // NEGv4i16
14453
414k
    64U,  // NEGv4i32
14454
414k
    72U,  // NEGv8i16
14455
414k
    80U,  // NEGv8i8
14456
414k
    16918744U,  // NMATCH_PPzZZ_B
14457
414k
    51129481U,  // NMATCH_PPzZZ_H
14458
414k
    16918744U,  // NORS_PPzPP
14459
414k
    16918744U,  // NOR_PPzPP
14460
414k
    8U, // NOT_ZPmZ_B
14461
414k
    16U,  // NOT_ZPmZ_D
14462
414k
    0U, // NOT_ZPmZ_H
14463
414k
    24U,  // NOT_ZPmZ_S
14464
414k
    32U,  // NOTv16i8
14465
414k
    80U,  // NOTv8i8
14466
414k
    16918744U,  // ORNS_PPzPP
14467
414k
    14424U, // ORNWrs
14468
414k
    14424U, // ORNXrs
14469
414k
    16918744U,  // ORN_PPzPP
14470
414k
    925840U,  // ORNv16i8
14471
414k
    1319080U, // ORNv8i8
14472
414k
    10328U, // ORQV_VPZ_B
14473
414k
    6232U,  // ORQV_VPZ_D
14474
414k
    5208U,  // ORQV_VPZ_H
14475
414k
    12376U, // ORQV_VPZ_S
14476
414k
    16918744U,  // ORRS_PPzPP
14477
414k
    35928U, // ORRWri
14478
414k
    14424U, // ORRWrs
14479
414k
    36952U, // ORRXri
14480
414k
    14424U, // ORRXrs
14481
414k
    16918744U,  // ORR_PPzPP
14482
414k
    36952U, // ORR_ZI
14483
414k
    16918656U,  // ORR_ZPmZ_B
14484
414k
    33691776U,  // ORR_ZPmZ_D
14485
414k
    51129480U,  // ORR_ZPmZ_H
14486
414k
    67252352U,  // ORR_ZPmZ_S
14487
414k
    6232U,  // ORR_ZZZ
14488
414k
    925840U,  // ORRv16i8
14489
414k
    1U, // ORRv2i32
14490
414k
    1U, // ORRv4i16
14491
414k
    1U, // ORRv4i32
14492
414k
    1U, // ORRv8i16
14493
414k
    1319080U, // ORRv8i8
14494
414k
    0U, // ORV_VPZ_B
14495
414k
    0U, // ORV_VPZ_D
14496
414k
    0U, // ORV_VPZ_H
14497
414k
    0U, // ORV_VPZ_S
14498
414k
    1U, // PACDA
14499
414k
    1U, // PACDB
14500
414k
    0U, // PACDZA
14501
414k
    0U, // PACDZB
14502
414k
    3160U,  // PACGA
14503
414k
    1U, // PACIA
14504
414k
    0U, // PACIA1716
14505
414k
    0U, // PACIA171615
14506
414k
    0U, // PACIASP
14507
414k
    0U, // PACIASPPC
14508
414k
    0U, // PACIAZ
14509
414k
    1U, // PACIB
14510
414k
    0U, // PACIB1716
14511
414k
    0U, // PACIB171615
14512
414k
    0U, // PACIBSP
14513
414k
    0U, // PACIBSPPC
14514
414k
    0U, // PACIBZ
14515
414k
    0U, // PACIZA
14516
414k
    0U, // PACIZB
14517
414k
    0U, // PACM
14518
414k
    0U, // PACNBIASPPC
14519
414k
    0U, // PACNBIBSPPC
14520
414k
    2U, // PEXT_2PCI_B
14521
414k
    2U, // PEXT_2PCI_D
14522
414k
    2U, // PEXT_2PCI_H
14523
414k
    2U, // PEXT_2PCI_S
14524
414k
    395U, // PEXT_PCI_B
14525
414k
    395U, // PEXT_PCI_D
14526
414k
    2U, // PEXT_PCI_H
14527
414k
    395U, // PEXT_PCI_S
14528
414k
    0U, // PFALSE
14529
414k
    10328U, // PFIRST_B
14530
414k
    392U, // PMOV_PZI_B
14531
414k
    392U, // PMOV_PZI_D
14532
414k
    2U, // PMOV_PZI_H
14533
414k
    392U, // PMOV_PZI_S
14534
414k
    4U, // PMOV_ZIP_B
14535
414k
    2U, // PMOV_ZIP_D
14536
414k
    0U, // PMOV_ZIP_H
14537
414k
    1U, // PMOV_ZIP_S
14538
414k
    12377U, // PMULLB_ZZZ_D
14539
414k
    176U, // PMULLB_ZZZ_H
14540
414k
    0U, // PMULLB_ZZZ_Q
14541
414k
    12377U, // PMULLT_ZZZ_D
14542
414k
    176U, // PMULLT_ZZZ_H
14543
414k
    0U, // PMULLT_ZZZ_Q
14544
414k
    925840U,  // PMULLv16i8
14545
414k
    4U, // PMULLv1i64
14546
414k
    4U, // PMULLv2i64
14547
414k
    1319080U, // PMULLv8i8
14548
414k
    10329U, // PMUL_ZZZ_B
14549
414k
    925840U,  // PMULv16i8
14550
414k
    1319080U, // PMULv8i8
14551
414k
    10328U, // PNEXT_B
14552
414k
    6232U,  // PNEXT_D
14553
414k
    136U, // PNEXT_H
14554
414k
    12376U, // PNEXT_S
14555
414k
    79224U, // PRFB_D_PZI
14556
414k
    696U, // PRFB_D_SCALED
14557
414k
    704U, // PRFB_D_SXTW_SCALED
14558
414k
    712U, // PRFB_D_UXTW_SCALED
14559
414k
    80248U, // PRFB_PRI
14560
414k
    720U, // PRFB_PRR
14561
414k
    79224U, // PRFB_S_PZI
14562
414k
    728U, // PRFB_S_SXTW_SCALED
14563
414k
    736U, // PRFB_S_UXTW_SCALED
14564
414k
    744U, // PRFD_D_PZI
14565
414k
    752U, // PRFD_D_SCALED
14566
414k
    760U, // PRFD_D_SXTW_SCALED
14567
414k
    768U, // PRFD_D_UXTW_SCALED
14568
414k
    80248U, // PRFD_PRI
14569
414k
    776U, // PRFD_PRR
14570
414k
    744U, // PRFD_S_PZI
14571
414k
    784U, // PRFD_S_SXTW_SCALED
14572
414k
    792U, // PRFD_S_UXTW_SCALED
14573
414k
    800U, // PRFH_D_PZI
14574
414k
    808U, // PRFH_D_SCALED
14575
414k
    816U, // PRFH_D_SXTW_SCALED
14576
414k
    824U, // PRFH_D_UXTW_SCALED
14577
414k
    80248U, // PRFH_PRI
14578
414k
    832U, // PRFH_PRR
14579
414k
    800U, // PRFH_S_PZI
14580
414k
    840U, // PRFH_S_SXTW_SCALED
14581
414k
    848U, // PRFH_S_UXTW_SCALED
14582
414k
    1U, // PRFMl
14583
414k
    537005144U, // PRFMroW
14584
414k
    553782360U, // PRFMroX
14585
414k
    65624U, // PRFMui
14586
414k
    3411032U, // PRFUMi
14587
414k
    856U, // PRFW_D_PZI
14588
414k
    864U, // PRFW_D_SCALED
14589
414k
    872U, // PRFW_D_SXTW_SCALED
14590
414k
    880U, // PRFW_D_UXTW_SCALED
14591
414k
    80248U, // PRFW_PRI
14592
414k
    888U, // PRFW_PRR
14593
414k
    856U, // PRFW_S_PZI
14594
414k
    896U, // PRFW_S_SXTW_SCALED
14595
414k
    904U, // PRFW_S_UXTW_SCALED
14596
414k
    11151448U,  // PSEL_PPPRI_B
14597
414k
    11147352U,  // PSEL_PPPRI_D
14598
414k
    11146328U,  // PSEL_PPPRI_H
14599
414k
    11153496U,  // PSEL_PPPRI_S
14600
414k
    1U, // PTEST_PP
14601
414k
    1U, // PTRUES_B
14602
414k
    1U, // PTRUES_D
14603
414k
    0U, // PTRUES_H
14604
414k
    1U, // PTRUES_S
14605
414k
    1U, // PTRUE_B
14606
414k
    0U, // PTRUE_C_B
14607
414k
    0U, // PTRUE_C_D
14608
414k
    0U, // PTRUE_C_H
14609
414k
    0U, // PTRUE_C_S
14610
414k
    1U, // PTRUE_D
14611
414k
    0U, // PTRUE_H
14612
414k
    1U, // PTRUE_S
14613
414k
    0U, // PUNPKHI_PP
14614
414k
    0U, // PUNPKLO_PP
14615
414k
    5208U,  // RADDHNB_ZZZ_B
14616
414k
    96U,  // RADDHNB_ZZZ_H
14617
414k
    6232U,  // RADDHNB_ZZZ_S
14618
414k
    7256U,  // RADDHNT_ZZZ_B
14619
414k
    24U,  // RADDHNT_ZZZ_H
14620
414k
    1112U,  // RADDHNT_ZZZ_S
14621
414k
    270440U,  // RADDHNv2i64_v2i32
14622
414k
    271464U,  // RADDHNv2i64_v4i32
14623
414k
    401520U,  // RADDHNv4i32_v4i16
14624
414k
    402544U,  // RADDHNv4i32_v8i16
14625
414k
    533624U,  // RADDHNv8i16_v16i8
14626
414k
    532600U,  // RADDHNv8i16_v8i8
14627
414k
    270440U,  // RAX1
14628
414k
    6232U,  // RAX1_ZZZ_D
14629
414k
    0U, // RBITWr
14630
414k
    0U, // RBITXr
14631
414k
    8U, // RBIT_ZPmZ_B
14632
414k
    16U,  // RBIT_ZPmZ_D
14633
414k
    0U, // RBIT_ZPmZ_H
14634
414k
    24U,  // RBIT_ZPmZ_S
14635
414k
    32U,  // RBITv16i8
14636
414k
    80U,  // RBITv8i8
14637
414k
    3449105U, // RCWCAS
14638
414k
    3449105U, // RCWCASA
14639
414k
    3449105U, // RCWCASAL
14640
414k
    3449105U, // RCWCASL
14641
414k
    0U, // RCWCASP
14642
414k
    0U, // RCWCASPA
14643
414k
    0U, // RCWCASPAL
14644
414k
    0U, // RCWCASPL
14645
414k
    3U, // RCWCLR
14646
414k
    3U, // RCWCLRA
14647
414k
    3U, // RCWCLRAL
14648
414k
    3U, // RCWCLRL
14649
414k
    60690U, // RCWCLRP
14650
414k
    60690U, // RCWCLRPA
14651
414k
    60690U, // RCWCLRPAL
14652
414k
    60690U, // RCWCLRPL
14653
414k
    3U, // RCWCLRS
14654
414k
    3U, // RCWCLRSA
14655
414k
    3U, // RCWCLRSAL
14656
414k
    3U, // RCWCLRSL
14657
414k
    60690U, // RCWCLRSP
14658
414k
    60690U, // RCWCLRSPA
14659
414k
    60690U, // RCWCLRSPAL
14660
414k
    60690U, // RCWCLRSPL
14661
414k
    3449105U, // RCWSCAS
14662
414k
    3449105U, // RCWSCASA
14663
414k
    3449105U, // RCWSCASAL
14664
414k
    3449105U, // RCWSCASL
14665
414k
    0U, // RCWSCASP
14666
414k
    0U, // RCWSCASPA
14667
414k
    0U, // RCWSCASPAL
14668
414k
    0U, // RCWSCASPL
14669
414k
    3U, // RCWSET
14670
414k
    3U, // RCWSETA
14671
414k
    3U, // RCWSETAL
14672
414k
    3U, // RCWSETL
14673
414k
    60690U, // RCWSETP
14674
414k
    60690U, // RCWSETPA
14675
414k
    60690U, // RCWSETPAL
14676
414k
    60690U, // RCWSETPL
14677
414k
    3U, // RCWSETS
14678
414k
    3U, // RCWSETSA
14679
414k
    3U, // RCWSETSAL
14680
414k
    3U, // RCWSETSL
14681
414k
    60690U, // RCWSETSP
14682
414k
    60690U, // RCWSETSPA
14683
414k
    60690U, // RCWSETSPAL
14684
414k
    60690U, // RCWSETSPL
14685
414k
    3U, // RCWSWP
14686
414k
    3U, // RCWSWPA
14687
414k
    3U, // RCWSWPAL
14688
414k
    3U, // RCWSWPL
14689
414k
    60690U, // RCWSWPP
14690
414k
    60690U, // RCWSWPPA
14691
414k
    60690U, // RCWSWPPAL
14692
414k
    60690U, // RCWSWPPL
14693
414k
    3U, // RCWSWPS
14694
414k
    3U, // RCWSWPSA
14695
414k
    3U, // RCWSWPSAL
14696
414k
    3U, // RCWSWPSL
14697
414k
    60690U, // RCWSWPSP
14698
414k
    60690U, // RCWSWPSPA
14699
414k
    60690U, // RCWSWPSPAL
14700
414k
    60690U, // RCWSWPSPL
14701
414k
    912U, // RDFFRS_PPz
14702
414k
    912U, // RDFFR_PPz_REAL
14703
414k
    0U, // RDFFR_P_REAL
14704
414k
    0U, // RDSVLI_XI
14705
414k
    0U, // RDVLI_XI
14706
414k
    0U, // RET
14707
414k
    0U, // RETAA
14708
414k
    0U, // RETAASPPCi
14709
414k
    0U, // RETAASPPCr
14710
414k
    0U, // RETAB
14711
414k
    0U, // RETABSPPCi
14712
414k
    0U, // RETABSPPCr
14713
414k
    0U, // REV16Wr
14714
414k
    0U, // REV16Xr
14715
414k
    32U,  // REV16v16i8
14716
414k
    80U,  // REV16v8i8
14717
414k
    0U, // REV32Xr
14718
414k
    32U,  // REV32v16i8
14719
414k
    56U,  // REV32v4i16
14720
414k
    72U,  // REV32v8i16
14721
414k
    80U,  // REV32v8i8
14722
414k
    32U,  // REV64v16i8
14723
414k
    40U,  // REV64v2i32
14724
414k
    56U,  // REV64v4i16
14725
414k
    64U,  // REV64v4i32
14726
414k
    72U,  // REV64v8i16
14727
414k
    80U,  // REV64v8i8
14728
414k
    16U,  // REVB_ZPmZ_D
14729
414k
    0U, // REVB_ZPmZ_H
14730
414k
    24U,  // REVB_ZPmZ_S
14731
414k
    4U, // REVD_ZPmZ
14732
414k
    16U,  // REVH_ZPmZ_D
14733
414k
    24U,  // REVH_ZPmZ_S
14734
414k
    16U,  // REVW_ZPmZ_D
14735
414k
    0U, // REVWr
14736
414k
    0U, // REVXr
14737
414k
    1U, // REV_PP_B
14738
414k
    0U, // REV_PP_D
14739
414k
    0U, // REV_PP_H
14740
414k
    1U, // REV_PP_S
14741
414k
    1U, // REV_ZZ_B
14742
414k
    0U, // REV_ZZ_D
14743
414k
    0U, // REV_ZZ_H
14744
414k
    1U, // REV_ZZ_S
14745
414k
    3160U,  // RMIF
14746
414k
    3160U,  // RORVWr
14747
414k
    3160U,  // RORVXr
14748
414k
    0U, // RPRFM
14749
414k
    3160U,  // RSHRNB_ZZI_B
14750
414k
    224U, // RSHRNB_ZZI_H
14751
414k
    3160U,  // RSHRNB_ZZI_S
14752
414k
    41048U, // RSHRNT_ZZI_B
14753
414k
    376U, // RSHRNT_ZZI_H
14754
414k
    41048U, // RSHRNT_ZZI_S
14755
414k
    41080U, // RSHRNv16i8_shift
14756
414k
    3176U,  // RSHRNv2i32_shift
14757
414k
    3184U,  // RSHRNv4i16_shift
14758
414k
    41064U, // RSHRNv4i32_shift
14759
414k
    41072U, // RSHRNv8i16_shift
14760
414k
    3192U,  // RSHRNv8i8_shift
14761
414k
    5208U,  // RSUBHNB_ZZZ_B
14762
414k
    96U,  // RSUBHNB_ZZZ_H
14763
414k
    6232U,  // RSUBHNB_ZZZ_S
14764
414k
    7256U,  // RSUBHNT_ZZZ_B
14765
414k
    24U,  // RSUBHNT_ZZZ_H
14766
414k
    1112U,  // RSUBHNT_ZZZ_S
14767
414k
    270440U,  // RSUBHNv2i64_v2i32
14768
414k
    271464U,  // RSUBHNv2i64_v4i32
14769
414k
    401520U,  // RSUBHNv4i32_v4i16
14770
414k
    402544U,  // RSUBHNv4i32_v8i16
14771
414k
    533624U,  // RSUBHNv8i16_v16i8
14772
414k
    532600U,  // RSUBHNv8i16_v8i8
14773
414k
    2136U,  // SABALB_ZZZ_D
14774
414k
    8U, // SABALB_ZZZ_H
14775
414k
    7256U,  // SABALB_ZZZ_S
14776
414k
    2136U,  // SABALT_ZZZ_D
14777
414k
    8U, // SABALT_ZZZ_H
14778
414k
    7256U,  // SABALT_ZZZ_S
14779
414k
    926864U,  // SABALv16i8_v8i16
14780
414k
    1057944U, // SABALv2i32_v2i64
14781
414k
    1189024U, // SABALv4i16_v4i32
14782
414k
    402544U,  // SABALv4i32_v2i64
14783
414k
    533624U,  // SABALv8i16_v4i32
14784
414k
    1320104U, // SABALv8i8_v8i16
14785
414k
    9U, // SABA_ZZZ_B
14786
414k
    1112U,  // SABA_ZZZ_D
14787
414k
    240U, // SABA_ZZZ_H
14788
414k
    2136U,  // SABA_ZZZ_S
14789
414k
    926864U,  // SABAv16i8
14790
414k
    1057944U, // SABAv2i32
14791
414k
    1189024U, // SABAv4i16
14792
414k
    402544U,  // SABAv4i32
14793
414k
    533624U,  // SABAv8i16
14794
414k
    1320104U, // SABAv8i8
14795
414k
    12377U, // SABDLB_ZZZ_D
14796
414k
    176U, // SABDLB_ZZZ_H
14797
414k
    5208U,  // SABDLB_ZZZ_S
14798
414k
    12377U, // SABDLT_ZZZ_D
14799
414k
    176U, // SABDLT_ZZZ_H
14800
414k
    5208U,  // SABDLT_ZZZ_S
14801
414k
    925840U,  // SABDLv16i8_v8i16
14802
414k
    1056920U, // SABDLv2i32_v2i64
14803
414k
    1188000U, // SABDLv4i16_v4i32
14804
414k
    401520U,  // SABDLv4i32_v2i64
14805
414k
    532600U,  // SABDLv8i16_v4i32
14806
414k
    1319080U, // SABDLv8i8_v8i16
14807
414k
    16918656U,  // SABD_ZPmZ_B
14808
414k
    33691776U,  // SABD_ZPmZ_D
14809
414k
    51129480U,  // SABD_ZPmZ_H
14810
414k
    67252352U,  // SABD_ZPmZ_S
14811
414k
    925840U,  // SABDv16i8
14812
414k
    1056920U, // SABDv2i32
14813
414k
    1188000U, // SABDv4i16
14814
414k
    401520U,  // SABDv4i32
14815
414k
    532600U,  // SABDv8i16
14816
414k
    1319080U, // SABDv8i8
14817
414k
    2176U,  // SADALP_ZPmZ_D
14818
414k
    8U, // SADALP_ZPmZ_H
14819
414k
    7296U,  // SADALP_ZPmZ_S
14820
414k
    32U,  // SADALPv16i8_v8i16
14821
414k
    40U,  // SADALPv2i32_v1i64
14822
414k
    56U,  // SADALPv4i16_v2i32
14823
414k
    64U,  // SADALPv4i32_v2i64
14824
414k
    72U,  // SADALPv8i16_v4i32
14825
414k
    80U,  // SADALPv8i8_v4i16
14826
414k
    12377U, // SADDLBT_ZZZ_D
14827
414k
    176U, // SADDLBT_ZZZ_H
14828
414k
    5208U,  // SADDLBT_ZZZ_S
14829
414k
    12377U, // SADDLB_ZZZ_D
14830
414k
    176U, // SADDLB_ZZZ_H
14831
414k
    5208U,  // SADDLB_ZZZ_S
14832
414k
    32U,  // SADDLPv16i8_v8i16
14833
414k
    40U,  // SADDLPv2i32_v1i64
14834
414k
    56U,  // SADDLPv4i16_v2i32
14835
414k
    64U,  // SADDLPv4i32_v2i64
14836
414k
    72U,  // SADDLPv8i16_v4i32
14837
414k
    80U,  // SADDLPv8i8_v4i16
14838
414k
    12377U, // SADDLT_ZZZ_D
14839
414k
    176U, // SADDLT_ZZZ_H
14840
414k
    5208U,  // SADDLT_ZZZ_S
14841
414k
    32U,  // SADDLVv16i8v
14842
414k
    56U,  // SADDLVv4i16v
14843
414k
    64U,  // SADDLVv4i32v
14844
414k
    72U,  // SADDLVv8i16v
14845
414k
    80U,  // SADDLVv8i8v
14846
414k
    925840U,  // SADDLv16i8_v8i16
14847
414k
    1056920U, // SADDLv2i32_v2i64
14848
414k
    1188000U, // SADDLv4i16_v4i32
14849
414k
    401520U,  // SADDLv4i32_v2i64
14850
414k
    532600U,  // SADDLv8i16_v4i32
14851
414k
    1319080U, // SADDLv8i8_v8i16
14852
414k
    0U, // SADDV_VPZ_B
14853
414k
    0U, // SADDV_VPZ_H
14854
414k
    0U, // SADDV_VPZ_S
14855
414k
    12376U, // SADDWB_ZZZ_D
14856
414k
    176U, // SADDWB_ZZZ_H
14857
414k
    5209U,  // SADDWB_ZZZ_S
14858
414k
    12376U, // SADDWT_ZZZ_D
14859
414k
    176U, // SADDWT_ZZZ_H
14860
414k
    5209U,  // SADDWT_ZZZ_S
14861
414k
    925816U,  // SADDWv16i8_v8i16
14862
414k
    1056872U, // SADDWv2i32_v2i64
14863
414k
    1187952U, // SADDWv4i16_v4i32
14864
414k
    401512U,  // SADDWv4i32_v2i64
14865
414k
    532592U,  // SADDWv8i16_v4i32
14866
414k
    1319032U, // SADDWv8i8_v8i16
14867
414k
    0U, // SB
14868
414k
    1112U,  // SBCLB_ZZZ_D
14869
414k
    2136U,  // SBCLB_ZZZ_S
14870
414k
    1112U,  // SBCLT_ZZZ_D
14871
414k
    2136U,  // SBCLT_ZZZ_S
14872
414k
    3160U,  // SBCSWr
14873
414k
    3160U,  // SBCSXr
14874
414k
    3160U,  // SBCWr
14875
414k
    3160U,  // SBCXr
14876
414k
    134232U,  // SBFMWri
14877
414k
    134232U,  // SBFMXri
14878
414k
    8U, // SCLAMP_VG2_2Z2Z_B
14879
414k
    16U,  // SCLAMP_VG2_2Z2Z_D
14880
414k
    240U, // SCLAMP_VG2_2Z2Z_H
14881
414k
    24U,  // SCLAMP_VG2_2Z2Z_S
14882
414k
    8U, // SCLAMP_VG4_4Z4Z_B
14883
414k
    16U,  // SCLAMP_VG4_4Z4Z_D
14884
414k
    240U, // SCLAMP_VG4_4Z4Z_H
14885
414k
    24U,  // SCLAMP_VG4_4Z4Z_S
14886
414k
    9U, // SCLAMP_ZZZ_B
14887
414k
    1112U,  // SCLAMP_ZZZ_D
14888
414k
    240U, // SCLAMP_ZZZ_H
14889
414k
    2136U,  // SCLAMP_ZZZ_S
14890
414k
    3160U,  // SCVTFSWDri
14891
414k
    3160U,  // SCVTFSWHri
14892
414k
    3160U,  // SCVTFSWSri
14893
414k
    3160U,  // SCVTFSXDri
14894
414k
    3160U,  // SCVTFSXHri
14895
414k
    3160U,  // SCVTFSXSri
14896
414k
    0U, // SCVTFUWDri
14897
414k
    0U, // SCVTFUWHri
14898
414k
    0U, // SCVTFUWSri
14899
414k
    0U, // SCVTFUXDri
14900
414k
    0U, // SCVTFUXHri
14901
414k
    0U, // SCVTFUXSri
14902
414k
    0U, // SCVTF_2Z2Z_StoS
14903
414k
    0U, // SCVTF_4Z4Z_StoS
14904
414k
    16U,  // SCVTF_ZPmZ_DtoD
14905
414k
    2U, // SCVTF_ZPmZ_DtoH
14906
414k
    16U,  // SCVTF_ZPmZ_DtoS
14907
414k
    0U, // SCVTF_ZPmZ_HtoH
14908
414k
    24U,  // SCVTF_ZPmZ_StoD
14909
414k
    1U, // SCVTF_ZPmZ_StoH
14910
414k
    24U,  // SCVTF_ZPmZ_StoS
14911
414k
    3160U,  // SCVTFd
14912
414k
    3160U,  // SCVTFh
14913
414k
    3160U,  // SCVTFs
14914
414k
    0U, // SCVTFv1i16
14915
414k
    0U, // SCVTFv1i32
14916
414k
    0U, // SCVTFv1i64
14917
414k
    40U,  // SCVTFv2f32
14918
414k
    48U,  // SCVTFv2f64
14919
414k
    3224U,  // SCVTFv2i32_shift
14920
414k
    3176U,  // SCVTFv2i64_shift
14921
414k
    56U,  // SCVTFv4f16
14922
414k
    64U,  // SCVTFv4f32
14923
414k
    3232U,  // SCVTFv4i16_shift
14924
414k
    3184U,  // SCVTFv4i32_shift
14925
414k
    72U,  // SCVTFv8f16
14926
414k
    3192U,  // SCVTFv8i16_shift
14927
414k
    33691776U,  // SDIVR_ZPmZ_D
14928
414k
    67252352U,  // SDIVR_ZPmZ_S
14929
414k
    3160U,  // SDIVWr
14930
414k
    3160U,  // SDIVXr
14931
414k
    33691776U,  // SDIV_ZPmZ_D
14932
414k
    67252352U,  // SDIV_ZPmZ_S
14933
414k
    47640U, // SDOT_VG2_M2Z2Z_BtoS
14934
414k
    2632936U, // SDOT_VG2_M2Z2Z_HtoD
14935
414k
    2632936U, // SDOT_VG2_M2Z2Z_HtoS
14936
414k
    5029400U, // SDOT_VG2_M2ZZI_BToS
14937
414k
    103427304U, // SDOT_VG2_M2ZZI_HToS
14938
414k
    103427304U, // SDOT_VG2_M2ZZI_HtoD
14939
414k
    48664U, // SDOT_VG2_M2ZZ_BtoS
14940
414k
    53095656U,  // SDOT_VG2_M2ZZ_HtoD
14941
414k
    53095656U,  // SDOT_VG2_M2ZZ_HtoS
14942
414k
    47640U, // SDOT_VG4_M4Z4Z_BtoS
14943
414k
    2632936U, // SDOT_VG4_M4Z4Z_HtoD
14944
414k
    2632936U, // SDOT_VG4_M4Z4Z_HtoS
14945
414k
    5029400U, // SDOT_VG4_M4ZZI_BToS
14946
414k
    103427304U, // SDOT_VG4_M4ZZI_HToS
14947
414k
    103427304U, // SDOT_VG4_M4ZZI_HtoD
14948
414k
    48664U, // SDOT_VG4_M4ZZ_BtoS
14949
414k
    53095656U,  // SDOT_VG4_M4ZZ_HtoD
14950
414k
    53095656U,  // SDOT_VG4_M4ZZ_HtoS
14951
414k
    53222488U,  // SDOT_ZZZI_D
14952
414k
    53222488U,  // SDOT_ZZZI_HtoS
14953
414k
    38921U, // SDOT_ZZZI_S
14954
414k
    7256U,  // SDOT_ZZZ_D
14955
414k
    7256U,  // SDOT_ZZZ_HtoS
14956
414k
    9U, // SDOT_ZZZ_S
14957
414k
    5121168U, // SDOTlanev16i8
14958
414k
    5121192U, // SDOTlanev8i8
14959
414k
    926864U,  // SDOTv16i8
14960
414k
    1320104U, // SDOTv8i8
14961
414k
    16918616U,  // SEL_PPPP
14962
414k
    11284376U,  // SEL_VG2_2ZC2Z2Z_B
14963
414k
    11414992U,  // SEL_VG2_2ZC2Z2Z_D
14964
414k
    11545848U,  // SEL_VG2_2ZC2Z2Z_H
14965
414k
    11677144U,  // SEL_VG2_2ZC2Z2Z_S
14966
414k
    11284376U,  // SEL_VG4_4ZC4Z4Z_B
14967
414k
    11414992U,  // SEL_VG4_4ZC4Z4Z_D
14968
414k
    11545848U,  // SEL_VG4_4ZC4Z4Z_H
14969
414k
    11677144U,  // SEL_VG4_4ZC4Z4Z_S
14970
414k
    16918616U,  // SEL_ZPZZ_B
14971
414k
    33691736U,  // SEL_ZPZZ_D
14972
414k
    51129480U,  // SEL_ZPZZ_H
14973
414k
    67252312U,  // SEL_ZPZZ_S
14974
414k
    0U, // SETE
14975
414k
    0U, // SETEN
14976
414k
    0U, // SETET
14977
414k
    0U, // SETETN
14978
414k
    0U, // SETF16
14979
414k
    0U, // SETF8
14980
414k
    0U, // SETFFR
14981
414k
    0U, // SETGM
14982
414k
    0U, // SETGMN
14983
414k
    0U, // SETGMT
14984
414k
    0U, // SETGMTN
14985
414k
    0U, // SETGP
14986
414k
    0U, // SETGPN
14987
414k
    0U, // SETGPT
14988
414k
    0U, // SETGPTN
14989
414k
    0U, // SETM
14990
414k
    0U, // SETMN
14991
414k
    0U, // SETMT
14992
414k
    0U, // SETMTN
14993
414k
    0U, // SETP
14994
414k
    0U, // SETPN
14995
414k
    0U, // SETPT
14996
414k
    0U, // SETPTN
14997
414k
    402521U,  // SHA1Crrr
14998
414k
    0U, // SHA1Hrr
14999
414k
    402521U,  // SHA1Mrrr
15000
414k
    402521U,  // SHA1Prrr
15001
414k
    402544U,  // SHA1SU0rrr
15002
414k
    64U,  // SHA1SU1rr
15003
414k
    402521U,  // SHA256H2rrr
15004
414k
    402521U,  // SHA256Hrrr
15005
414k
    64U,  // SHA256SU0rr
15006
414k
    402544U,  // SHA256SU1rrr
15007
414k
    271449U,  // SHA512H
15008
414k
    271449U,  // SHA512H2
15009
414k
    48U,  // SHA512SU0
15010
414k
    271464U,  // SHA512SU1
15011
414k
    16918656U,  // SHADD_ZPmZ_B
15012
414k
    33691776U,  // SHADD_ZPmZ_D
15013
414k
    51129480U,  // SHADD_ZPmZ_H
15014
414k
    67252352U,  // SHADD_ZPmZ_S
15015
414k
    925840U,  // SHADDv16i8
15016
414k
    1056920U, // SHADDv2i32
15017
414k
    1188000U, // SHADDv4i16
15018
414k
    401520U,  // SHADDv4i32
15019
414k
    532600U,  // SHADDv8i16
15020
414k
    1319080U, // SHADDv8i8
15021
414k
    928U, // SHLLv16i8
15022
414k
    936U, // SHLLv2i32
15023
414k
    944U, // SHLLv4i16
15024
414k
    952U, // SHLLv4i32
15025
414k
    960U, // SHLLv8i16
15026
414k
    968U, // SHLLv8i8
15027
414k
    3160U,  // SHLd
15028
414k
    3216U,  // SHLv16i8_shift
15029
414k
    3224U,  // SHLv2i32_shift
15030
414k
    3176U,  // SHLv2i64_shift
15031
414k
    3232U,  // SHLv4i16_shift
15032
414k
    3184U,  // SHLv4i32_shift
15033
414k
    3192U,  // SHLv8i16_shift
15034
414k
    3240U,  // SHLv8i8_shift
15035
414k
    3160U,  // SHRNB_ZZI_B
15036
414k
    224U, // SHRNB_ZZI_H
15037
414k
    3160U,  // SHRNB_ZZI_S
15038
414k
    41048U, // SHRNT_ZZI_B
15039
414k
    376U, // SHRNT_ZZI_H
15040
414k
    41048U, // SHRNT_ZZI_S
15041
414k
    41080U, // SHRNv16i8_shift
15042
414k
    3176U,  // SHRNv2i32_shift
15043
414k
    3184U,  // SHRNv4i16_shift
15044
414k
    41064U, // SHRNv4i32_shift
15045
414k
    41072U, // SHRNv8i16_shift
15046
414k
    3192U,  // SHRNv8i8_shift
15047
414k
    16918656U,  // SHSUBR_ZPmZ_B
15048
414k
    33691776U,  // SHSUBR_ZPmZ_D
15049
414k
    51129480U,  // SHSUBR_ZPmZ_H
15050
414k
    67252352U,  // SHSUBR_ZPmZ_S
15051
414k
    16918656U,  // SHSUB_ZPmZ_B
15052
414k
    33691776U,  // SHSUB_ZPmZ_D
15053
414k
    51129480U,  // SHSUB_ZPmZ_H
15054
414k
    67252352U,  // SHSUB_ZPmZ_S
15055
414k
    925840U,  // SHSUBv16i8
15056
414k
    1056920U, // SHSUBv2i32
15057
414k
    1188000U, // SHSUBv4i16
15058
414k
    401520U,  // SHSUBv4i32
15059
414k
    532600U,  // SHSUBv8i16
15060
414k
    1319080U, // SHSUBv8i8
15061
414k
    377U, // SLI_ZZI_B
15062
414k
    41048U, // SLI_ZZI_D
15063
414k
    376U, // SLI_ZZI_H
15064
414k
    41048U, // SLI_ZZI_S
15065
414k
    41049U, // SLId
15066
414k
    41104U, // SLIv16i8_shift
15067
414k
    41112U, // SLIv2i32_shift
15068
414k
    41064U, // SLIv2i64_shift
15069
414k
    41120U, // SLIv4i16_shift
15070
414k
    41072U, // SLIv4i32_shift
15071
414k
    41080U, // SLIv8i16_shift
15072
414k
    41128U, // SLIv8i8_shift
15073
414k
    402544U,  // SM3PARTW1
15074
414k
    402544U,  // SM3PARTW2
15075
414k
    88350832U,  // SM3SS1
15076
414k
    122299504U, // SM3TT1A
15077
414k
    122299504U, // SM3TT1B
15078
414k
    122299504U, // SM3TT2A
15079
414k
    122299504U, // SM3TT2B
15080
414k
    64U,  // SM4E
15081
414k
    12377U, // SM4EKEY_ZZZ_S
15082
414k
    401520U,  // SM4ENCKEY
15083
414k
    12377U, // SM4E_ZZZ_S
15084
414k
    134232U,  // SMADDLrrr
15085
414k
    16918656U,  // SMAXP_ZPmZ_B
15086
414k
    33691776U,  // SMAXP_ZPmZ_D
15087
414k
    51129480U,  // SMAXP_ZPmZ_H
15088
414k
    67252352U,  // SMAXP_ZPmZ_S
15089
414k
    925840U,  // SMAXPv16i8
15090
414k
    1056920U, // SMAXPv2i32
15091
414k
    1188000U, // SMAXPv4i16
15092
414k
    401520U,  // SMAXPv4i32
15093
414k
    532600U,  // SMAXPv8i16
15094
414k
    1319080U, // SMAXPv8i8
15095
414k
    10328U, // SMAXQV_VPZ_B
15096
414k
    6232U,  // SMAXQV_VPZ_D
15097
414k
    5208U,  // SMAXQV_VPZ_H
15098
414k
    12376U, // SMAXQV_VPZ_S
15099
414k
    0U, // SMAXV_VPZ_B
15100
414k
    0U, // SMAXV_VPZ_D
15101
414k
    0U, // SMAXV_VPZ_H
15102
414k
    0U, // SMAXV_VPZ_S
15103
414k
    32U,  // SMAXVv16i8v
15104
414k
    56U,  // SMAXVv4i16v
15105
414k
    64U,  // SMAXVv4i32v
15106
414k
    72U,  // SMAXVv8i16v
15107
414k
    80U,  // SMAXVv8i8v
15108
414k
    3160U,  // SMAXWri
15109
414k
    3160U,  // SMAXWrr
15110
414k
    3160U,  // SMAXXri
15111
414k
    3160U,  // SMAXXrr
15112
414k
    920U, // SMAX_VG2_2Z2Z_B
15113
414k
    464U, // SMAX_VG2_2Z2Z_D
15114
414k
    248U, // SMAX_VG2_2Z2Z_H
15115
414k
    472U, // SMAX_VG2_2Z2Z_S
15116
414k
    176U, // SMAX_VG2_2ZZ_B
15117
414k
    184U, // SMAX_VG2_2ZZ_D
15118
414k
    136U, // SMAX_VG2_2ZZ_H
15119
414k
    96U,  // SMAX_VG2_2ZZ_S
15120
414k
    920U, // SMAX_VG4_4Z4Z_B
15121
414k
    464U, // SMAX_VG4_4Z4Z_D
15122
414k
    248U, // SMAX_VG4_4Z4Z_H
15123
414k
    472U, // SMAX_VG4_4Z4Z_S
15124
414k
    176U, // SMAX_VG4_4ZZ_B
15125
414k
    184U, // SMAX_VG4_4ZZ_D
15126
414k
    136U, // SMAX_VG4_4ZZ_H
15127
414k
    96U,  // SMAX_VG4_4ZZ_S
15128
414k
    3161U,  // SMAX_ZI_B
15129
414k
    3160U,  // SMAX_ZI_D
15130
414k
    224U, // SMAX_ZI_H
15131
414k
    3161U,  // SMAX_ZI_S
15132
414k
    16918656U,  // SMAX_ZPmZ_B
15133
414k
    33691776U,  // SMAX_ZPmZ_D
15134
414k
    51129480U,  // SMAX_ZPmZ_H
15135
414k
    67252352U,  // SMAX_ZPmZ_S
15136
414k
    925840U,  // SMAXv16i8
15137
414k
    1056920U, // SMAXv2i32
15138
414k
    1188000U, // SMAXv4i16
15139
414k
    401520U,  // SMAXv4i32
15140
414k
    532600U,  // SMAXv8i16
15141
414k
    1319080U, // SMAXv8i8
15142
414k
    0U, // SMC
15143
414k
    16918656U,  // SMINP_ZPmZ_B
15144
414k
    33691776U,  // SMINP_ZPmZ_D
15145
414k
    51129480U,  // SMINP_ZPmZ_H
15146
414k
    67252352U,  // SMINP_ZPmZ_S
15147
414k
    925840U,  // SMINPv16i8
15148
414k
    1056920U, // SMINPv2i32
15149
414k
    1188000U, // SMINPv4i16
15150
414k
    401520U,  // SMINPv4i32
15151
414k
    532600U,  // SMINPv8i16
15152
414k
    1319080U, // SMINPv8i8
15153
414k
    10328U, // SMINQV_VPZ_B
15154
414k
    6232U,  // SMINQV_VPZ_D
15155
414k
    5208U,  // SMINQV_VPZ_H
15156
414k
    12376U, // SMINQV_VPZ_S
15157
414k
    0U, // SMINV_VPZ_B
15158
414k
    0U, // SMINV_VPZ_D
15159
414k
    0U, // SMINV_VPZ_H
15160
414k
    0U, // SMINV_VPZ_S
15161
414k
    32U,  // SMINVv16i8v
15162
414k
    56U,  // SMINVv4i16v
15163
414k
    64U,  // SMINVv4i32v
15164
414k
    72U,  // SMINVv8i16v
15165
414k
    80U,  // SMINVv8i8v
15166
414k
    3160U,  // SMINWri
15167
414k
    3160U,  // SMINWrr
15168
414k
    3160U,  // SMINXri
15169
414k
    3160U,  // SMINXrr
15170
414k
    920U, // SMIN_VG2_2Z2Z_B
15171
414k
    464U, // SMIN_VG2_2Z2Z_D
15172
414k
    248U, // SMIN_VG2_2Z2Z_H
15173
414k
    472U, // SMIN_VG2_2Z2Z_S
15174
414k
    176U, // SMIN_VG2_2ZZ_B
15175
414k
    184U, // SMIN_VG2_2ZZ_D
15176
414k
    136U, // SMIN_VG2_2ZZ_H
15177
414k
    96U,  // SMIN_VG2_2ZZ_S
15178
414k
    920U, // SMIN_VG4_4Z4Z_B
15179
414k
    464U, // SMIN_VG4_4Z4Z_D
15180
414k
    248U, // SMIN_VG4_4Z4Z_H
15181
414k
    472U, // SMIN_VG4_4Z4Z_S
15182
414k
    176U, // SMIN_VG4_4ZZ_B
15183
414k
    184U, // SMIN_VG4_4ZZ_D
15184
414k
    136U, // SMIN_VG4_4ZZ_H
15185
414k
    96U,  // SMIN_VG4_4ZZ_S
15186
414k
    3161U,  // SMIN_ZI_B
15187
414k
    3160U,  // SMIN_ZI_D
15188
414k
    224U, // SMIN_ZI_H
15189
414k
    3161U,  // SMIN_ZI_S
15190
414k
    16918656U,  // SMIN_ZPmZ_B
15191
414k
    33691776U,  // SMIN_ZPmZ_D
15192
414k
    51129480U,  // SMIN_ZPmZ_H
15193
414k
    67252352U,  // SMIN_ZPmZ_S
15194
414k
    925840U,  // SMINv16i8
15195
414k
    1056920U, // SMINv2i32
15196
414k
    1188000U, // SMINv4i16
15197
414k
    401520U,  // SMINv4i32
15198
414k
    532600U,  // SMINv8i16
15199
414k
    1319080U, // SMINv8i8
15200
414k
    53217368U,  // SMLALB_ZZZI_D
15201
414k
    53222488U,  // SMLALB_ZZZI_S
15202
414k
    2136U,  // SMLALB_ZZZ_D
15203
414k
    8U, // SMLALB_ZZZ_H
15204
414k
    7256U,  // SMLALB_ZZZ_S
15205
414k
    38441U, // SMLALL_MZZI_BtoS
15206
414k
    38145U, // SMLALL_MZZI_HtoD
15207
414k
    553U, // SMLALL_MZZ_BtoS
15208
414k
    257U, // SMLALL_MZZ_HtoD
15209
414k
    47640U, // SMLALL_VG2_M2Z2Z_BtoS
15210
414k
    2632936U, // SMLALL_VG2_M2Z2Z_HtoD
15211
414k
    5029400U, // SMLALL_VG2_M2ZZI_BtoS
15212
414k
    103427304U, // SMLALL_VG2_M2ZZI_HtoD
15213
414k
    48666U, // SMLALL_VG2_M2ZZ_BtoS
15214
414k
    53095658U,  // SMLALL_VG2_M2ZZ_HtoD
15215
414k
    47640U, // SMLALL_VG4_M4Z4Z_BtoS
15216
414k
    2632936U, // SMLALL_VG4_M4Z4Z_HtoD
15217
414k
    5029400U, // SMLALL_VG4_M4ZZI_BtoS
15218
414k
    103427304U, // SMLALL_VG4_M4ZZI_HtoD
15219
414k
    48667U, // SMLALL_VG4_M4ZZ_BtoS
15220
414k
    53095659U,  // SMLALL_VG4_M4ZZ_HtoD
15221
414k
    53217368U,  // SMLALT_ZZZI_D
15222
414k
    53222488U,  // SMLALT_ZZZI_S
15223
414k
    2136U,  // SMLALT_ZZZ_D
15224
414k
    8U, // SMLALT_ZZZ_H
15225
414k
    7256U,  // SMLALT_ZZZ_S
15226
414k
    38145U, // SMLAL_MZZI_HtoS
15227
414k
    257U, // SMLAL_MZZ_HtoS
15228
414k
    2632936U, // SMLAL_VG2_M2Z2Z_HtoS
15229
414k
    103427304U, // SMLAL_VG2_M2ZZI_S
15230
414k
    53095656U,  // SMLAL_VG2_M2ZZ_HtoS
15231
414k
    2632936U, // SMLAL_VG4_M4Z4Z_HtoS
15232
414k
    103427304U, // SMLAL_VG4_M4ZZI_HtoS
15233
414k
    53095656U,  // SMLAL_VG4_M4ZZ_HtoS
15234
414k
    926864U,  // SMLALv16i8_v8i16
15235
414k
    122299544U, // SMLALv2i32_indexed
15236
414k
    1057944U, // SMLALv2i32_v2i64
15237
414k
    120464544U, // SMLALv4i16_indexed
15238
414k
    1189024U, // SMLALv4i16_v4i32
15239
414k
    122299504U, // SMLALv4i32_indexed
15240
414k
    402544U,  // SMLALv4i32_v2i64
15241
414k
    120464504U, // SMLALv8i16_indexed
15242
414k
    533624U,  // SMLALv8i16_v4i32
15243
414k
    1320104U, // SMLALv8i8_v8i16
15244
414k
    53217368U,  // SMLSLB_ZZZI_D
15245
414k
    53222488U,  // SMLSLB_ZZZI_S
15246
414k
    2136U,  // SMLSLB_ZZZ_D
15247
414k
    8U, // SMLSLB_ZZZ_H
15248
414k
    7256U,  // SMLSLB_ZZZ_S
15249
414k
    38441U, // SMLSLL_MZZI_BtoS
15250
414k
    38145U, // SMLSLL_MZZI_HtoD
15251
414k
    553U, // SMLSLL_MZZ_BtoS
15252
414k
    257U, // SMLSLL_MZZ_HtoD
15253
414k
    47640U, // SMLSLL_VG2_M2Z2Z_BtoS
15254
414k
    2632936U, // SMLSLL_VG2_M2Z2Z_HtoD
15255
414k
    5029400U, // SMLSLL_VG2_M2ZZI_BtoS
15256
414k
    103427304U, // SMLSLL_VG2_M2ZZI_HtoD
15257
414k
    48666U, // SMLSLL_VG2_M2ZZ_BtoS
15258
414k
    53095658U,  // SMLSLL_VG2_M2ZZ_HtoD
15259
414k
    47640U, // SMLSLL_VG4_M4Z4Z_BtoS
15260
414k
    2632936U, // SMLSLL_VG4_M4Z4Z_HtoD
15261
414k
    5029400U, // SMLSLL_VG4_M4ZZI_BtoS
15262
414k
    103427304U, // SMLSLL_VG4_M4ZZI_HtoD
15263
414k
    48667U, // SMLSLL_VG4_M4ZZ_BtoS
15264
414k
    53095659U,  // SMLSLL_VG4_M4ZZ_HtoD
15265
414k
    53217368U,  // SMLSLT_ZZZI_D
15266
414k
    53222488U,  // SMLSLT_ZZZI_S
15267
414k
    2136U,  // SMLSLT_ZZZ_D
15268
414k
    8U, // SMLSLT_ZZZ_H
15269
414k
    7256U,  // SMLSLT_ZZZ_S
15270
414k
    38145U, // SMLSL_MZZI_HtoS
15271
414k
    257U, // SMLSL_MZZ_HtoS
15272
414k
    2632936U, // SMLSL_VG2_M2Z2Z_HtoS
15273
414k
    103427304U, // SMLSL_VG2_M2ZZI_S
15274
414k
    53095656U,  // SMLSL_VG2_M2ZZ_HtoS
15275
414k
    2632936U, // SMLSL_VG4_M4Z4Z_HtoS
15276
414k
    103427304U, // SMLSL_VG4_M4ZZI_HtoS
15277
414k
    53095656U,  // SMLSL_VG4_M4ZZ_HtoS
15278
414k
    926864U,  // SMLSLv16i8_v8i16
15279
414k
    122299544U, // SMLSLv2i32_indexed
15280
414k
    1057944U, // SMLSLv2i32_v2i64
15281
414k
    120464544U, // SMLSLv4i16_indexed
15282
414k
    1189024U, // SMLSLv4i16_v4i32
15283
414k
    122299504U, // SMLSLv4i32_indexed
15284
414k
    402544U,  // SMLSLv4i32_v2i64
15285
414k
    120464504U, // SMLSLv8i16_indexed
15286
414k
    533624U,  // SMLSLv8i16_v4i32
15287
414k
    1320104U, // SMLSLv8i8_v8i16
15288
414k
    926864U,  // SMMLA
15289
414k
    9U, // SMMLA_ZZZ
15290
414k
    0U, // SMOPA_MPPZZ_D
15291
414k
    0U, // SMOPA_MPPZZ_HtoS
15292
414k
    0U, // SMOPA_MPPZZ_S
15293
414k
    0U, // SMOPS_MPPZZ_D
15294
414k
    0U, // SMOPS_MPPZZ_HtoS
15295
414k
    0U, // SMOPS_MPPZZ_S
15296
414k
    45456U, // SMOVvi16to32
15297
414k
    45456U, // SMOVvi16to32_idx0
15298
414k
    45456U, // SMOVvi16to64
15299
414k
    45456U, // SMOVvi16to64_idx0
15300
414k
    45464U, // SMOVvi32to64
15301
414k
    45464U, // SMOVvi32to64_idx0
15302
414k
    45480U, // SMOVvi8to32
15303
414k
    45480U, // SMOVvi8to32_idx0
15304
414k
    45480U, // SMOVvi8to64
15305
414k
    45480U, // SMOVvi8to64_idx0
15306
414k
    134232U,  // SMSUBLrrr
15307
414k
    16918656U,  // SMULH_ZPmZ_B
15308
414k
    33691776U,  // SMULH_ZPmZ_D
15309
414k
    51129480U,  // SMULH_ZPmZ_H
15310
414k
    67252352U,  // SMULH_ZPmZ_S
15311
414k
    10329U, // SMULH_ZZZ_B
15312
414k
    6232U,  // SMULH_ZZZ_D
15313
414k
    136U, // SMULH_ZZZ_H
15314
414k
    12377U, // SMULH_ZZZ_S
15315
414k
    3160U,  // SMULHrr
15316
414k
    5910617U, // SMULLB_ZZZI_D
15317
414k
    5903448U, // SMULLB_ZZZI_S
15318
414k
    12377U, // SMULLB_ZZZ_D
15319
414k
    176U, // SMULLB_ZZZ_H
15320
414k
    5208U,  // SMULLB_ZZZ_S
15321
414k
    5910617U, // SMULLT_ZZZI_D
15322
414k
    5903448U, // SMULLT_ZZZI_S
15323
414k
    12377U, // SMULLT_ZZZ_D
15324
414k
    176U, // SMULLT_ZZZ_H
15325
414k
    5208U,  // SMULLT_ZZZ_S
15326
414k
    925840U,  // SMULLv16i8_v8i16
15327
414k
    340402328U, // SMULLv2i32_indexed
15328
414k
    1056920U, // SMULLv2i32_v2i64
15329
414k
    338567328U, // SMULLv4i16_indexed
15330
414k
    1188000U, // SMULLv4i16_v4i32
15331
414k
    340402288U, // SMULLv4i32_indexed
15332
414k
    401520U,  // SMULLv4i32_v2i64
15333
414k
    338567288U, // SMULLv8i16_indexed
15334
414k
    532600U,  // SMULLv8i16_v4i32
15335
414k
    1319080U, // SMULLv8i8_v8i16
15336
414k
    80984U, // SPLICE_ZPZZ_B
15337
414k
    82008U, // SPLICE_ZPZZ_D
15338
414k
    248U, // SPLICE_ZPZZ_H
15339
414k
    83032U, // SPLICE_ZPZZ_S
15340
414k
    16918616U,  // SPLICE_ZPZ_B
15341
414k
    33691736U,  // SPLICE_ZPZ_D
15342
414k
    51129480U,  // SPLICE_ZPZ_H
15343
414k
    67252312U,  // SPLICE_ZPZ_S
15344
414k
    8U, // SQABS_ZPmZ_B
15345
414k
    16U,  // SQABS_ZPmZ_D
15346
414k
    0U, // SQABS_ZPmZ_H
15347
414k
    24U,  // SQABS_ZPmZ_S
15348
414k
    32U,  // SQABSv16i8
15349
414k
    0U, // SQABSv1i16
15350
414k
    0U, // SQABSv1i32
15351
414k
    0U, // SQABSv1i64
15352
414k
    0U, // SQABSv1i8
15353
414k
    40U,  // SQABSv2i32
15354
414k
    48U,  // SQABSv2i64
15355
414k
    56U,  // SQABSv4i16
15356
414k
    64U,  // SQABSv4i32
15357
414k
    72U,  // SQABSv8i16
15358
414k
    80U,  // SQABSv8i8
15359
414k
    16473U, // SQADD_ZI_B
15360
414k
    17496U, // SQADD_ZI_D
15361
414k
    208U, // SQADD_ZI_H
15362
414k
    18521U, // SQADD_ZI_S
15363
414k
    16918656U,  // SQADD_ZPmZ_B
15364
414k
    33691776U,  // SQADD_ZPmZ_D
15365
414k
    51129480U,  // SQADD_ZPmZ_H
15366
414k
    67252352U,  // SQADD_ZPmZ_S
15367
414k
    10329U, // SQADD_ZZZ_B
15368
414k
    6232U,  // SQADD_ZZZ_D
15369
414k
    136U, // SQADD_ZZZ_H
15370
414k
    12377U, // SQADD_ZZZ_S
15371
414k
    925840U,  // SQADDv16i8
15372
414k
    3160U,  // SQADDv1i16
15373
414k
    3160U,  // SQADDv1i32
15374
414k
    3160U,  // SQADDv1i64
15375
414k
    3160U,  // SQADDv1i8
15376
414k
    1056920U, // SQADDv2i32
15377
414k
    270440U,  // SQADDv2i64
15378
414k
    1188000U, // SQADDv4i16
15379
414k
    401520U,  // SQADDv4i32
15380
414k
    532600U,  // SQADDv8i16
15381
414k
    1319080U, // SQADDv8i8
15382
414k
    151136345U, // SQCADD_ZZI_B
15383
414k
    151132248U, // SQCADD_ZZI_D
15384
414k
    3288200U, // SQCADD_ZZI_H
15385
414k
    151138393U, // SQCADD_ZZI_S
15386
414k
    0U, // SQCVTN_Z2Z_StoH
15387
414k
    0U, // SQCVTN_Z4Z_DtoH
15388
414k
    2U, // SQCVTN_Z4Z_StoB
15389
414k
    0U, // SQCVTUN_Z2Z_StoH
15390
414k
    0U, // SQCVTUN_Z4Z_DtoH
15391
414k
    2U, // SQCVTUN_Z4Z_StoB
15392
414k
    0U, // SQCVTU_Z2Z_StoH
15393
414k
    0U, // SQCVTU_Z4Z_DtoH
15394
414k
    2U, // SQCVTU_Z4Z_StoB
15395
414k
    0U, // SQCVT_Z2Z_StoH
15396
414k
    0U, // SQCVT_Z4Z_DtoH
15397
414k
    2U, // SQCVT_Z4Z_StoB
15398
414k
    2U, // SQDECB_XPiI
15399
414k
    4U, // SQDECB_XPiWdI
15400
414k
    2U, // SQDECD_XPiI
15401
414k
    4U, // SQDECD_XPiWdI
15402
414k
    2U, // SQDECD_ZPiI
15403
414k
    2U, // SQDECH_XPiI
15404
414k
    4U, // SQDECH_XPiWdI
15405
414k
    0U, // SQDECH_ZPiI
15406
414k
    84057U, // SQDECP_XPWd_B
15407
414k
    84056U, // SQDECP_XPWd_D
15408
414k
    84056U, // SQDECP_XPWd_H
15409
414k
    84057U, // SQDECP_XPWd_S
15410
414k
    1U, // SQDECP_XP_B
15411
414k
    0U, // SQDECP_XP_D
15412
414k
    0U, // SQDECP_XP_H
15413
414k
    1U, // SQDECP_XP_S
15414
414k
    0U, // SQDECP_ZP_D
15415
414k
    0U, // SQDECP_ZP_H
15416
414k
    0U, // SQDECP_ZP_S
15417
414k
    2U, // SQDECW_XPiI
15418
414k
    4U, // SQDECW_XPiWdI
15419
414k
    2U, // SQDECW_ZPiI
15420
414k
    2136U,  // SQDMLALBT_ZZZ_D
15421
414k
    8U, // SQDMLALBT_ZZZ_H
15422
414k
    7256U,  // SQDMLALBT_ZZZ_S
15423
414k
    53217368U,  // SQDMLALB_ZZZI_D
15424
414k
    53222488U,  // SQDMLALB_ZZZI_S
15425
414k
    2136U,  // SQDMLALB_ZZZ_D
15426
414k
    8U, // SQDMLALB_ZZZ_H
15427
414k
    7256U,  // SQDMLALB_ZZZ_S
15428
414k
    53217368U,  // SQDMLALT_ZZZI_D
15429
414k
    53222488U,  // SQDMLALT_ZZZI_S
15430
414k
    2136U,  // SQDMLALT_ZZZ_D
15431
414k
    8U, // SQDMLALT_ZZZ_H
15432
414k
    7256U,  // SQDMLALT_ZZZ_S
15433
414k
    41049U, // SQDMLALi16
15434
414k
    41049U, // SQDMLALi32
15435
414k
    120464473U, // SQDMLALv1i32_indexed
15436
414k
    122299481U, // SQDMLALv1i64_indexed
15437
414k
    122299544U, // SQDMLALv2i32_indexed
15438
414k
    1057944U, // SQDMLALv2i32_v2i64
15439
414k
    120464544U, // SQDMLALv4i16_indexed
15440
414k
    1189024U, // SQDMLALv4i16_v4i32
15441
414k
    122299504U, // SQDMLALv4i32_indexed
15442
414k
    402544U,  // SQDMLALv4i32_v2i64
15443
414k
    120464504U, // SQDMLALv8i16_indexed
15444
414k
    533624U,  // SQDMLALv8i16_v4i32
15445
414k
    2136U,  // SQDMLSLBT_ZZZ_D
15446
414k
    8U, // SQDMLSLBT_ZZZ_H
15447
414k
    7256U,  // SQDMLSLBT_ZZZ_S
15448
414k
    53217368U,  // SQDMLSLB_ZZZI_D
15449
414k
    53222488U,  // SQDMLSLB_ZZZI_S
15450
414k
    2136U,  // SQDMLSLB_ZZZ_D
15451
414k
    8U, // SQDMLSLB_ZZZ_H
15452
414k
    7256U,  // SQDMLSLB_ZZZ_S
15453
414k
    53217368U,  // SQDMLSLT_ZZZI_D
15454
414k
    53222488U,  // SQDMLSLT_ZZZI_S
15455
414k
    2136U,  // SQDMLSLT_ZZZ_D
15456
414k
    8U, // SQDMLSLT_ZZZ_H
15457
414k
    7256U,  // SQDMLSLT_ZZZ_S
15458
414k
    41049U, // SQDMLSLi16
15459
414k
    41049U, // SQDMLSLi32
15460
414k
    120464473U, // SQDMLSLv1i32_indexed
15461
414k
    122299481U, // SQDMLSLv1i64_indexed
15462
414k
    122299544U, // SQDMLSLv2i32_indexed
15463
414k
    1057944U, // SQDMLSLv2i32_v2i64
15464
414k
    120464544U, // SQDMLSLv4i16_indexed
15465
414k
    1189024U, // SQDMLSLv4i16_v4i32
15466
414k
    122299504U, // SQDMLSLv4i32_indexed
15467
414k
    402544U,  // SQDMLSLv4i32_v2i64
15468
414k
    120464504U, // SQDMLSLv8i16_indexed
15469
414k
    533624U,  // SQDMLSLv8i16_v4i32
15470
414k
    920U, // SQDMULH_VG2_2Z2Z_B
15471
414k
    464U, // SQDMULH_VG2_2Z2Z_D
15472
414k
    248U, // SQDMULH_VG2_2Z2Z_H
15473
414k
    472U, // SQDMULH_VG2_2Z2Z_S
15474
414k
    176U, // SQDMULH_VG2_2ZZ_B
15475
414k
    184U, // SQDMULH_VG2_2ZZ_D
15476
414k
    136U, // SQDMULH_VG2_2ZZ_H
15477
414k
    96U,  // SQDMULH_VG2_2ZZ_S
15478
414k
    920U, // SQDMULH_VG4_4Z4Z_B
15479
414k
    464U, // SQDMULH_VG4_4Z4Z_D
15480
414k
    248U, // SQDMULH_VG4_4Z4Z_H
15481
414k
    472U, // SQDMULH_VG4_4Z4Z_S
15482
414k
    176U, // SQDMULH_VG4_4ZZ_B
15483
414k
    184U, // SQDMULH_VG4_4ZZ_D
15484
414k
    136U, // SQDMULH_VG4_4ZZ_H
15485
414k
    96U,  // SQDMULH_VG4_4ZZ_S
15486
414k
    5904472U, // SQDMULH_ZZZI_D
15487
414k
    40072U, // SQDMULH_ZZZI_H
15488
414k
    5910617U, // SQDMULH_ZZZI_S
15489
414k
    10329U, // SQDMULH_ZZZ_B
15490
414k
    6232U,  // SQDMULH_ZZZ_D
15491
414k
    136U, // SQDMULH_ZZZ_H
15492
414k
    12377U, // SQDMULH_ZZZ_S
15493
414k
    3160U,  // SQDMULHv1i16
15494
414k
    338567256U, // SQDMULHv1i16_indexed
15495
414k
    3160U,  // SQDMULHv1i32
15496
414k
    340402264U, // SQDMULHv1i32_indexed
15497
414k
    1056920U, // SQDMULHv2i32
15498
414k
    340402328U, // SQDMULHv2i32_indexed
15499
414k
    1188000U, // SQDMULHv4i16
15500
414k
    338567328U, // SQDMULHv4i16_indexed
15501
414k
    401520U,  // SQDMULHv4i32
15502
414k
    340402288U, // SQDMULHv4i32_indexed
15503
414k
    532600U,  // SQDMULHv8i16
15504
414k
    338567288U, // SQDMULHv8i16_indexed
15505
414k
    5910617U, // SQDMULLB_ZZZI_D
15506
414k
    5903448U, // SQDMULLB_ZZZI_S
15507
414k
    12377U, // SQDMULLB_ZZZ_D
15508
414k
    176U, // SQDMULLB_ZZZ_H
15509
414k
    5208U,  // SQDMULLB_ZZZ_S
15510
414k
    5910617U, // SQDMULLT_ZZZI_D
15511
414k
    5903448U, // SQDMULLT_ZZZI_S
15512
414k
    12377U, // SQDMULLT_ZZZ_D
15513
414k
    176U, // SQDMULLT_ZZZ_H
15514
414k
    5208U,  // SQDMULLT_ZZZ_S
15515
414k
    3160U,  // SQDMULLi16
15516
414k
    3160U,  // SQDMULLi32
15517
414k
    338567256U, // SQDMULLv1i32_indexed
15518
414k
    340402264U, // SQDMULLv1i64_indexed
15519
414k
    340402328U, // SQDMULLv2i32_indexed
15520
414k
    1056920U, // SQDMULLv2i32_v2i64
15521
414k
    338567328U, // SQDMULLv4i16_indexed
15522
414k
    1188000U, // SQDMULLv4i16_v4i32
15523
414k
    340402288U, // SQDMULLv4i32_indexed
15524
414k
    401520U,  // SQDMULLv4i32_v2i64
15525
414k
    338567288U, // SQDMULLv8i16_indexed
15526
414k
    532600U,  // SQDMULLv8i16_v4i32
15527
414k
    2U, // SQINCB_XPiI
15528
414k
    4U, // SQINCB_XPiWdI
15529
414k
    2U, // SQINCD_XPiI
15530
414k
    4U, // SQINCD_XPiWdI
15531
414k
    2U, // SQINCD_ZPiI
15532
414k
    2U, // SQINCH_XPiI
15533
414k
    4U, // SQINCH_XPiWdI
15534
414k
    0U, // SQINCH_ZPiI
15535
414k
    84057U, // SQINCP_XPWd_B
15536
414k
    84056U, // SQINCP_XPWd_D
15537
414k
    84056U, // SQINCP_XPWd_H
15538
414k
    84057U, // SQINCP_XPWd_S
15539
414k
    1U, // SQINCP_XP_B
15540
414k
    0U, // SQINCP_XP_D
15541
414k
    0U, // SQINCP_XP_H
15542
414k
    1U, // SQINCP_XP_S
15543
414k
    0U, // SQINCP_ZP_D
15544
414k
    0U, // SQINCP_ZP_H
15545
414k
    0U, // SQINCP_ZP_S
15546
414k
    2U, // SQINCW_XPiI
15547
414k
    4U, // SQINCW_XPiWdI
15548
414k
    2U, // SQINCW_ZPiI
15549
414k
    8U, // SQNEG_ZPmZ_B
15550
414k
    16U,  // SQNEG_ZPmZ_D
15551
414k
    0U, // SQNEG_ZPmZ_H
15552
414k
    24U,  // SQNEG_ZPmZ_S
15553
414k
    32U,  // SQNEGv16i8
15554
414k
    0U, // SQNEGv1i16
15555
414k
    0U, // SQNEGv1i32
15556
414k
    0U, // SQNEGv1i64
15557
414k
    0U, // SQNEGv1i8
15558
414k
    40U,  // SQNEGv2i32
15559
414k
    48U,  // SQNEGv2i64
15560
414k
    56U,  // SQNEGv4i16
15561
414k
    64U,  // SQNEGv4i32
15562
414k
    72U,  // SQNEGv8i16
15563
414k
    80U,  // SQNEGv8i8
15564
414k
    201496816U, // SQRDCMLAH_ZZZI_H
15565
414k
    187435096U, // SQRDCMLAH_ZZZI_S
15566
414k
    3550217U, // SQRDCMLAH_ZZZ_B
15567
414k
    218235992U, // SQRDCMLAH_ZZZ_D
15568
414k
    3550448U, // SQRDCMLAH_ZZZ_H
15569
414k
    218237016U, // SQRDCMLAH_ZZZ_S
15570
414k
    53216344U,  // SQRDMLAH_ZZZI_D
15571
414k
    39152U, // SQRDMLAH_ZZZI_H
15572
414k
    53217368U,  // SQRDMLAH_ZZZI_S
15573
414k
    9U, // SQRDMLAH_ZZZ_B
15574
414k
    1112U,  // SQRDMLAH_ZZZ_D
15575
414k
    240U, // SQRDMLAH_ZZZ_H
15576
414k
    2136U,  // SQRDMLAH_ZZZ_S
15577
414k
    41049U, // SQRDMLAHv1i16
15578
414k
    120464473U, // SQRDMLAHv1i16_indexed
15579
414k
    41049U, // SQRDMLAHv1i32
15580
414k
    122299481U, // SQRDMLAHv1i32_indexed
15581
414k
    1057944U, // SQRDMLAHv2i32
15582
414k
    122299544U, // SQRDMLAHv2i32_indexed
15583
414k
    1189024U, // SQRDMLAHv4i16
15584
414k
    120464544U, // SQRDMLAHv4i16_indexed
15585
414k
    402544U,  // SQRDMLAHv4i32
15586
414k
    122299504U, // SQRDMLAHv4i32_indexed
15587
414k
    533624U,  // SQRDMLAHv8i16
15588
414k
    120464504U, // SQRDMLAHv8i16_indexed
15589
414k
    53216344U,  // SQRDMLSH_ZZZI_D
15590
414k
    39152U, // SQRDMLSH_ZZZI_H
15591
414k
    53217368U,  // SQRDMLSH_ZZZI_S
15592
414k
    9U, // SQRDMLSH_ZZZ_B
15593
414k
    1112U,  // SQRDMLSH_ZZZ_D
15594
414k
    240U, // SQRDMLSH_ZZZ_H
15595
414k
    2136U,  // SQRDMLSH_ZZZ_S
15596
414k
    41049U, // SQRDMLSHv1i16
15597
414k
    120464473U, // SQRDMLSHv1i16_indexed
15598
414k
    41049U, // SQRDMLSHv1i32
15599
414k
    122299481U, // SQRDMLSHv1i32_indexed
15600
414k
    1057944U, // SQRDMLSHv2i32
15601
414k
    122299544U, // SQRDMLSHv2i32_indexed
15602
414k
    1189024U, // SQRDMLSHv4i16
15603
414k
    120464544U, // SQRDMLSHv4i16_indexed
15604
414k
    402544U,  // SQRDMLSHv4i32
15605
414k
    122299504U, // SQRDMLSHv4i32_indexed
15606
414k
    533624U,  // SQRDMLSHv8i16
15607
414k
    120464504U, // SQRDMLSHv8i16_indexed
15608
414k
    5904472U, // SQRDMULH_ZZZI_D
15609
414k
    40072U, // SQRDMULH_ZZZI_H
15610
414k
    5910617U, // SQRDMULH_ZZZI_S
15611
414k
    10329U, // SQRDMULH_ZZZ_B
15612
414k
    6232U,  // SQRDMULH_ZZZ_D
15613
414k
    136U, // SQRDMULH_ZZZ_H
15614
414k
    12377U, // SQRDMULH_ZZZ_S
15615
414k
    3160U,  // SQRDMULHv1i16
15616
414k
    338567256U, // SQRDMULHv1i16_indexed
15617
414k
    3160U,  // SQRDMULHv1i32
15618
414k
    340402264U, // SQRDMULHv1i32_indexed
15619
414k
    1056920U, // SQRDMULHv2i32
15620
414k
    340402328U, // SQRDMULHv2i32_indexed
15621
414k
    1188000U, // SQRDMULHv4i16
15622
414k
    338567328U, // SQRDMULHv4i16_indexed
15623
414k
    401520U,  // SQRDMULHv4i32
15624
414k
    340402288U, // SQRDMULHv4i32_indexed
15625
414k
    532600U,  // SQRDMULHv8i16
15626
414k
    338567288U, // SQRDMULHv8i16_indexed
15627
414k
    16918656U,  // SQRSHLR_ZPmZ_B
15628
414k
    33691776U,  // SQRSHLR_ZPmZ_D
15629
414k
    51129480U,  // SQRSHLR_ZPmZ_H
15630
414k
    67252352U,  // SQRSHLR_ZPmZ_S
15631
414k
    16918656U,  // SQRSHL_ZPmZ_B
15632
414k
    33691776U,  // SQRSHL_ZPmZ_D
15633
414k
    51129480U,  // SQRSHL_ZPmZ_H
15634
414k
    67252352U,  // SQRSHL_ZPmZ_S
15635
414k
    925840U,  // SQRSHLv16i8
15636
414k
    3160U,  // SQRSHLv1i16
15637
414k
    3160U,  // SQRSHLv1i32
15638
414k
    3160U,  // SQRSHLv1i64
15639
414k
    3160U,  // SQRSHLv1i8
15640
414k
    1056920U, // SQRSHLv2i32
15641
414k
    270440U,  // SQRSHLv2i64
15642
414k
    1188000U, // SQRSHLv4i16
15643
414k
    401520U,  // SQRSHLv4i32
15644
414k
    532600U,  // SQRSHLv8i16
15645
414k
    1319080U, // SQRSHLv8i8
15646
414k
    3160U,  // SQRSHRNB_ZZI_B
15647
414k
    224U, // SQRSHRNB_ZZI_H
15648
414k
    3160U,  // SQRSHRNB_ZZI_S
15649
414k
    41048U, // SQRSHRNT_ZZI_B
15650
414k
    376U, // SQRSHRNT_ZZI_H
15651
414k
    41048U, // SQRSHRNT_ZZI_S
15652
414k
    3162U,  // SQRSHRN_VG4_Z4ZI_B
15653
414k
    224U, // SQRSHRN_VG4_Z4ZI_H
15654
414k
    224U, // SQRSHRN_Z2ZI_StoH
15655
414k
    3160U,  // SQRSHRNb
15656
414k
    3160U,  // SQRSHRNh
15657
414k
    3160U,  // SQRSHRNs
15658
414k
    41080U, // SQRSHRNv16i8_shift
15659
414k
    3176U,  // SQRSHRNv2i32_shift
15660
414k
    3184U,  // SQRSHRNv4i16_shift
15661
414k
    41064U, // SQRSHRNv4i32_shift
15662
414k
    41072U, // SQRSHRNv8i16_shift
15663
414k
    3192U,  // SQRSHRNv8i8_shift
15664
414k
    3160U,  // SQRSHRUNB_ZZI_B
15665
414k
    224U, // SQRSHRUNB_ZZI_H
15666
414k
    3160U,  // SQRSHRUNB_ZZI_S
15667
414k
    41048U, // SQRSHRUNT_ZZI_B
15668
414k
    376U, // SQRSHRUNT_ZZI_H
15669
414k
    41048U, // SQRSHRUNT_ZZI_S
15670
414k
    3162U,  // SQRSHRUN_VG4_Z4ZI_B
15671
414k
    224U, // SQRSHRUN_VG4_Z4ZI_H
15672
414k
    224U, // SQRSHRUN_Z2ZI_StoH
15673
414k
    3160U,  // SQRSHRUNb
15674
414k
    3160U,  // SQRSHRUNh
15675
414k
    3160U,  // SQRSHRUNs
15676
414k
    41080U, // SQRSHRUNv16i8_shift
15677
414k
    3176U,  // SQRSHRUNv2i32_shift
15678
414k
    3184U,  // SQRSHRUNv4i16_shift
15679
414k
    41064U, // SQRSHRUNv4i32_shift
15680
414k
    41072U, // SQRSHRUNv8i16_shift
15681
414k
    3192U,  // SQRSHRUNv8i8_shift
15682
414k
    224U, // SQRSHRU_VG2_Z2ZI_H
15683
414k
    3162U,  // SQRSHRU_VG4_Z4ZI_B
15684
414k
    224U, // SQRSHRU_VG4_Z4ZI_H
15685
414k
    224U, // SQRSHR_VG2_Z2ZI_H
15686
414k
    3162U,  // SQRSHR_VG4_Z4ZI_B
15687
414k
    224U, // SQRSHR_VG4_Z4ZI_H
15688
414k
    16918656U,  // SQSHLR_ZPmZ_B
15689
414k
    33691776U,  // SQSHLR_ZPmZ_D
15690
414k
    51129480U,  // SQSHLR_ZPmZ_H
15691
414k
    67252352U,  // SQSHLR_ZPmZ_S
15692
414k
    141440U,  // SQSHLU_ZPmI_B
15693
414k
    137344U,  // SQSHLU_ZPmI_D
15694
414k
    52440200U,  // SQSHLU_ZPmI_H
15695
414k
    143488U,  // SQSHLU_ZPmI_S
15696
414k
    3160U,  // SQSHLUb
15697
414k
    3160U,  // SQSHLUd
15698
414k
    3160U,  // SQSHLUh
15699
414k
    3160U,  // SQSHLUs
15700
414k
    3216U,  // SQSHLUv16i8_shift
15701
414k
    3224U,  // SQSHLUv2i32_shift
15702
414k
    3176U,  // SQSHLUv2i64_shift
15703
414k
    3232U,  // SQSHLUv4i16_shift
15704
414k
    3184U,  // SQSHLUv4i32_shift
15705
414k
    3192U,  // SQSHLUv8i16_shift
15706
414k
    3240U,  // SQSHLUv8i8_shift
15707
414k
    141440U,  // SQSHL_ZPmI_B
15708
414k
    137344U,  // SQSHL_ZPmI_D
15709
414k
    52440200U,  // SQSHL_ZPmI_H
15710
414k
    143488U,  // SQSHL_ZPmI_S
15711
414k
    16918656U,  // SQSHL_ZPmZ_B
15712
414k
    33691776U,  // SQSHL_ZPmZ_D
15713
414k
    51129480U,  // SQSHL_ZPmZ_H
15714
414k
    67252352U,  // SQSHL_ZPmZ_S
15715
414k
    3160U,  // SQSHLb
15716
414k
    3160U,  // SQSHLd
15717
414k
    3160U,  // SQSHLh
15718
414k
    3160U,  // SQSHLs
15719
414k
    925840U,  // SQSHLv16i8
15720
414k
    3216U,  // SQSHLv16i8_shift
15721
414k
    3160U,  // SQSHLv1i16
15722
414k
    3160U,  // SQSHLv1i32
15723
414k
    3160U,  // SQSHLv1i64
15724
414k
    3160U,  // SQSHLv1i8
15725
414k
    1056920U, // SQSHLv2i32
15726
414k
    3224U,  // SQSHLv2i32_shift
15727
414k
    270440U,  // SQSHLv2i64
15728
414k
    3176U,  // SQSHLv2i64_shift
15729
414k
    1188000U, // SQSHLv4i16
15730
414k
    3232U,  // SQSHLv4i16_shift
15731
414k
    401520U,  // SQSHLv4i32
15732
414k
    3184U,  // SQSHLv4i32_shift
15733
414k
    532600U,  // SQSHLv8i16
15734
414k
    3192U,  // SQSHLv8i16_shift
15735
414k
    1319080U, // SQSHLv8i8
15736
414k
    3240U,  // SQSHLv8i8_shift
15737
414k
    3160U,  // SQSHRNB_ZZI_B
15738
414k
    224U, // SQSHRNB_ZZI_H
15739
414k
    3160U,  // SQSHRNB_ZZI_S
15740
414k
    41048U, // SQSHRNT_ZZI_B
15741
414k
    376U, // SQSHRNT_ZZI_H
15742
414k
    41048U, // SQSHRNT_ZZI_S
15743
414k
    3160U,  // SQSHRNb
15744
414k
    3160U,  // SQSHRNh
15745
414k
    3160U,  // SQSHRNs
15746
414k
    41080U, // SQSHRNv16i8_shift
15747
414k
    3176U,  // SQSHRNv2i32_shift
15748
414k
    3184U,  // SQSHRNv4i16_shift
15749
414k
    41064U, // SQSHRNv4i32_shift
15750
414k
    41072U, // SQSHRNv8i16_shift
15751
414k
    3192U,  // SQSHRNv8i8_shift
15752
414k
    3160U,  // SQSHRUNB_ZZI_B
15753
414k
    224U, // SQSHRUNB_ZZI_H
15754
414k
    3160U,  // SQSHRUNB_ZZI_S
15755
414k
    41048U, // SQSHRUNT_ZZI_B
15756
414k
    376U, // SQSHRUNT_ZZI_H
15757
414k
    41048U, // SQSHRUNT_ZZI_S
15758
414k
    3160U,  // SQSHRUNb
15759
414k
    3160U,  // SQSHRUNh
15760
414k
    3160U,  // SQSHRUNs
15761
414k
    41080U, // SQSHRUNv16i8_shift
15762
414k
    3176U,  // SQSHRUNv2i32_shift
15763
414k
    3184U,  // SQSHRUNv4i16_shift
15764
414k
    41064U, // SQSHRUNv4i32_shift
15765
414k
    41072U, // SQSHRUNv8i16_shift
15766
414k
    3192U,  // SQSHRUNv8i8_shift
15767
414k
    16918656U,  // SQSUBR_ZPmZ_B
15768
414k
    33691776U,  // SQSUBR_ZPmZ_D
15769
414k
    51129480U,  // SQSUBR_ZPmZ_H
15770
414k
    67252352U,  // SQSUBR_ZPmZ_S
15771
414k
    16473U, // SQSUB_ZI_B
15772
414k
    17496U, // SQSUB_ZI_D
15773
414k
    208U, // SQSUB_ZI_H
15774
414k
    18521U, // SQSUB_ZI_S
15775
414k
    16918656U,  // SQSUB_ZPmZ_B
15776
414k
    33691776U,  // SQSUB_ZPmZ_D
15777
414k
    51129480U,  // SQSUB_ZPmZ_H
15778
414k
    67252352U,  // SQSUB_ZPmZ_S
15779
414k
    10329U, // SQSUB_ZZZ_B
15780
414k
    6232U,  // SQSUB_ZZZ_D
15781
414k
    136U, // SQSUB_ZZZ_H
15782
414k
    12377U, // SQSUB_ZZZ_S
15783
414k
    925840U,  // SQSUBv16i8
15784
414k
    3160U,  // SQSUBv1i16
15785
414k
    3160U,  // SQSUBv1i32
15786
414k
    3160U,  // SQSUBv1i64
15787
414k
    3160U,  // SQSUBv1i8
15788
414k
    1056920U, // SQSUBv2i32
15789
414k
    270440U,  // SQSUBv2i64
15790
414k
    1188000U, // SQSUBv4i16
15791
414k
    401520U,  // SQSUBv4i32
15792
414k
    532600U,  // SQSUBv8i16
15793
414k
    1319080U, // SQSUBv8i8
15794
414k
    0U, // SQXTNB_ZZ_B
15795
414k
    0U, // SQXTNB_ZZ_H
15796
414k
    0U, // SQXTNB_ZZ_S
15797
414k
    0U, // SQXTNT_ZZ_B
15798
414k
    0U, // SQXTNT_ZZ_H
15799
414k
    0U, // SQXTNT_ZZ_S
15800
414k
    72U,  // SQXTNv16i8
15801
414k
    0U, // SQXTNv1i16
15802
414k
    0U, // SQXTNv1i32
15803
414k
    0U, // SQXTNv1i8
15804
414k
    48U,  // SQXTNv2i32
15805
414k
    64U,  // SQXTNv4i16
15806
414k
    48U,  // SQXTNv4i32
15807
414k
    64U,  // SQXTNv8i16
15808
414k
    72U,  // SQXTNv8i8
15809
414k
    0U, // SQXTUNB_ZZ_B
15810
414k
    0U, // SQXTUNB_ZZ_H
15811
414k
    0U, // SQXTUNB_ZZ_S
15812
414k
    0U, // SQXTUNT_ZZ_B
15813
414k
    0U, // SQXTUNT_ZZ_H
15814
414k
    0U, // SQXTUNT_ZZ_S
15815
414k
    72U,  // SQXTUNv16i8
15816
414k
    0U, // SQXTUNv1i16
15817
414k
    0U, // SQXTUNv1i32
15818
414k
    0U, // SQXTUNv1i8
15819
414k
    48U,  // SQXTUNv2i32
15820
414k
    64U,  // SQXTUNv4i16
15821
414k
    48U,  // SQXTUNv4i32
15822
414k
    64U,  // SQXTUNv8i16
15823
414k
    72U,  // SQXTUNv8i8
15824
414k
    16918656U,  // SRHADD_ZPmZ_B
15825
414k
    33691776U,  // SRHADD_ZPmZ_D
15826
414k
    51129480U,  // SRHADD_ZPmZ_H
15827
414k
    67252352U,  // SRHADD_ZPmZ_S
15828
414k
    925840U,  // SRHADDv16i8
15829
414k
    1056920U, // SRHADDv2i32
15830
414k
    1188000U, // SRHADDv4i16
15831
414k
    401520U,  // SRHADDv4i32
15832
414k
    532600U,  // SRHADDv8i16
15833
414k
    1319080U, // SRHADDv8i8
15834
414k
    377U, // SRI_ZZI_B
15835
414k
    41048U, // SRI_ZZI_D
15836
414k
    376U, // SRI_ZZI_H
15837
414k
    41048U, // SRI_ZZI_S
15838
414k
    41049U, // SRId
15839
414k
    41104U, // SRIv16i8_shift
15840
414k
    41112U, // SRIv2i32_shift
15841
414k
    41064U, // SRIv2i64_shift
15842
414k
    41120U, // SRIv4i16_shift
15843
414k
    41072U, // SRIv4i32_shift
15844
414k
    41080U, // SRIv8i16_shift
15845
414k
    41128U, // SRIv8i8_shift
15846
414k
    16918656U,  // SRSHLR_ZPmZ_B
15847
414k
    33691776U,  // SRSHLR_ZPmZ_D
15848
414k
    51129480U,  // SRSHLR_ZPmZ_H
15849
414k
    67252352U,  // SRSHLR_ZPmZ_S
15850
414k
    920U, // SRSHL_VG2_2Z2Z_B
15851
414k
    464U, // SRSHL_VG2_2Z2Z_D
15852
414k
    248U, // SRSHL_VG2_2Z2Z_H
15853
414k
    472U, // SRSHL_VG2_2Z2Z_S
15854
414k
    176U, // SRSHL_VG2_2ZZ_B
15855
414k
    184U, // SRSHL_VG2_2ZZ_D
15856
414k
    136U, // SRSHL_VG2_2ZZ_H
15857
414k
    96U,  // SRSHL_VG2_2ZZ_S
15858
414k
    920U, // SRSHL_VG4_4Z4Z_B
15859
414k
    464U, // SRSHL_VG4_4Z4Z_D
15860
414k
    248U, // SRSHL_VG4_4Z4Z_H
15861
414k
    472U, // SRSHL_VG4_4Z4Z_S
15862
414k
    176U, // SRSHL_VG4_4ZZ_B
15863
414k
    184U, // SRSHL_VG4_4ZZ_D
15864
414k
    136U, // SRSHL_VG4_4ZZ_H
15865
414k
    96U,  // SRSHL_VG4_4ZZ_S
15866
414k
    16918656U,  // SRSHL_ZPmZ_B
15867
414k
    33691776U,  // SRSHL_ZPmZ_D
15868
414k
    51129480U,  // SRSHL_ZPmZ_H
15869
414k
    67252352U,  // SRSHL_ZPmZ_S
15870
414k
    925840U,  // SRSHLv16i8
15871
414k
    3160U,  // SRSHLv1i64
15872
414k
    1056920U, // SRSHLv2i32
15873
414k
    270440U,  // SRSHLv2i64
15874
414k
    1188000U, // SRSHLv4i16
15875
414k
    401520U,  // SRSHLv4i32
15876
414k
    532600U,  // SRSHLv8i16
15877
414k
    1319080U, // SRSHLv8i8
15878
414k
    141440U,  // SRSHR_ZPmI_B
15879
414k
    137344U,  // SRSHR_ZPmI_D
15880
414k
    52440200U,  // SRSHR_ZPmI_H
15881
414k
    143488U,  // SRSHR_ZPmI_S
15882
414k
    3160U,  // SRSHRd
15883
414k
    3216U,  // SRSHRv16i8_shift
15884
414k
    3224U,  // SRSHRv2i32_shift
15885
414k
    3176U,  // SRSHRv2i64_shift
15886
414k
    3232U,  // SRSHRv4i16_shift
15887
414k
    3184U,  // SRSHRv4i32_shift
15888
414k
    3192U,  // SRSHRv8i16_shift
15889
414k
    3240U,  // SRSHRv8i8_shift
15890
414k
    377U, // SRSRA_ZZI_B
15891
414k
    41048U, // SRSRA_ZZI_D
15892
414k
    376U, // SRSRA_ZZI_H
15893
414k
    41048U, // SRSRA_ZZI_S
15894
414k
    41049U, // SRSRAd
15895
414k
    41104U, // SRSRAv16i8_shift
15896
414k
    41112U, // SRSRAv2i32_shift
15897
414k
    41064U, // SRSRAv2i64_shift
15898
414k
    41120U, // SRSRAv4i16_shift
15899
414k
    41072U, // SRSRAv4i32_shift
15900
414k
    41080U, // SRSRAv8i16_shift
15901
414k
    41128U, // SRSRAv8i8_shift
15902
414k
    3161U,  // SSHLLB_ZZI_D
15903
414k
    224U, // SSHLLB_ZZI_H
15904
414k
    3160U,  // SSHLLB_ZZI_S
15905
414k
    3161U,  // SSHLLT_ZZI_D
15906
414k
    224U, // SSHLLT_ZZI_H
15907
414k
    3160U,  // SSHLLT_ZZI_S
15908
414k
    3216U,  // SSHLLv16i8_shift
15909
414k
    3224U,  // SSHLLv2i32_shift
15910
414k
    3232U,  // SSHLLv4i16_shift
15911
414k
    3184U,  // SSHLLv4i32_shift
15912
414k
    3192U,  // SSHLLv8i16_shift
15913
414k
    3240U,  // SSHLLv8i8_shift
15914
414k
    925840U,  // SSHLv16i8
15915
414k
    3160U,  // SSHLv1i64
15916
414k
    1056920U, // SSHLv2i32
15917
414k
    270440U,  // SSHLv2i64
15918
414k
    1188000U, // SSHLv4i16
15919
414k
    401520U,  // SSHLv4i32
15920
414k
    532600U,  // SSHLv8i16
15921
414k
    1319080U, // SSHLv8i8
15922
414k
    3160U,  // SSHRd
15923
414k
    3216U,  // SSHRv16i8_shift
15924
414k
    3224U,  // SSHRv2i32_shift
15925
414k
    3176U,  // SSHRv2i64_shift
15926
414k
    3232U,  // SSHRv4i16_shift
15927
414k
    3184U,  // SSHRv4i32_shift
15928
414k
    3192U,  // SSHRv8i16_shift
15929
414k
    3240U,  // SSHRv8i8_shift
15930
414k
    377U, // SSRA_ZZI_B
15931
414k
    41048U, // SSRA_ZZI_D
15932
414k
    376U, // SSRA_ZZI_H
15933
414k
    41048U, // SSRA_ZZI_S
15934
414k
    41049U, // SSRAd
15935
414k
    41104U, // SSRAv16i8_shift
15936
414k
    41112U, // SSRAv2i32_shift
15937
414k
    41064U, // SSRAv2i64_shift
15938
414k
    41120U, // SSRAv4i16_shift
15939
414k
    41072U, // SSRAv4i32_shift
15940
414k
    41080U, // SSRAv8i16_shift
15941
414k
    41128U, // SSRAv8i8_shift
15942
414k
    6040804U, // SST1B_D
15943
414k
    371207356U, // SST1B_D_IMM
15944
414k
    6171876U, // SST1B_D_SXTW
15945
414k
    6302948U, // SST1B_D_UXTW
15946
414k
    371207268U, // SST1B_S_IMM
15947
414k
    6434020U, // SST1B_S_SXTW
15948
414k
    6565092U, // SST1B_S_UXTW
15949
414k
    6040804U, // SST1D
15950
414k
    6696124U, // SST1D_IMM
15951
414k
    6827236U, // SST1D_SCALED
15952
414k
    6171876U, // SST1D_SXTW
15953
414k
    6958308U, // SST1D_SXTW_SCALED
15954
414k
    6302948U, // SST1D_UXTW
15955
414k
    7089380U, // SST1D_UXTW_SCALED
15956
414k
    6040804U, // SST1H_D
15957
414k
    376319164U, // SST1H_D_IMM
15958
414k
    7351524U, // SST1H_D_SCALED
15959
414k
    6171876U, // SST1H_D_SXTW
15960
414k
    7482596U, // SST1H_D_SXTW_SCALED
15961
414k
    6302948U, // SST1H_D_UXTW
15962
414k
    7613668U, // SST1H_D_UXTW_SCALED
15963
414k
    376319076U, // SST1H_S_IMM
15964
414k
    6434020U, // SST1H_S_SXTW
15965
414k
    7744740U, // SST1H_S_SXTW_SCALED
15966
414k
    6565092U, // SST1H_S_UXTW
15967
414k
    7875812U, // SST1H_S_UXTW_SCALED
15968
414k
    371207356U, // SST1Q
15969
414k
    6040804U, // SST1W_D
15970
414k
    377105596U, // SST1W_D_IMM
15971
414k
    8137956U, // SST1W_D_SCALED
15972
414k
    6171876U, // SST1W_D_SXTW
15973
414k
    8269028U, // SST1W_D_SXTW_SCALED
15974
414k
    6302948U, // SST1W_D_UXTW
15975
414k
    8400100U, // SST1W_D_UXTW_SCALED
15976
414k
    377105508U, // SST1W_IMM
15977
414k
    6434020U, // SST1W_SXTW
15978
414k
    8531172U, // SST1W_SXTW_SCALED
15979
414k
    6565092U, // SST1W_UXTW
15980
414k
    8662244U, // SST1W_UXTW_SCALED
15981
414k
    12377U, // SSUBLBT_ZZZ_D
15982
414k
    176U, // SSUBLBT_ZZZ_H
15983
414k
    5208U,  // SSUBLBT_ZZZ_S
15984
414k
    12377U, // SSUBLB_ZZZ_D
15985
414k
    176U, // SSUBLB_ZZZ_H
15986
414k
    5208U,  // SSUBLB_ZZZ_S
15987
414k
    12377U, // SSUBLTB_ZZZ_D
15988
414k
    176U, // SSUBLTB_ZZZ_H
15989
414k
    5208U,  // SSUBLTB_ZZZ_S
15990
414k
    12377U, // SSUBLT_ZZZ_D
15991
414k
    176U, // SSUBLT_ZZZ_H
15992
414k
    5208U,  // SSUBLT_ZZZ_S
15993
414k
    925840U,  // SSUBLv16i8_v8i16
15994
414k
    1056920U, // SSUBLv2i32_v2i64
15995
414k
    1188000U, // SSUBLv4i16_v4i32
15996
414k
    401520U,  // SSUBLv4i32_v2i64
15997
414k
    532600U,  // SSUBLv8i16_v4i32
15998
414k
    1319080U, // SSUBLv8i8_v8i16
15999
414k
    12376U, // SSUBWB_ZZZ_D
16000
414k
    176U, // SSUBWB_ZZZ_H
16001
414k
    5209U,  // SSUBWB_ZZZ_S
16002
414k
    12376U, // SSUBWT_ZZZ_D
16003
414k
    176U, // SSUBWT_ZZZ_H
16004
414k
    5209U,  // SSUBWT_ZZZ_S
16005
414k
    925816U,  // SSUBWv16i8_v8i16
16006
414k
    1056872U, // SSUBWv2i32_v2i64
16007
414k
    1187952U, // SSUBWv4i16_v4i32
16008
414k
    401512U,  // SSUBWv4i32_v2i64
16009
414k
    532592U,  // SSUBWv8i16_v4i32
16010
414k
    1319032U, // SSUBWv8i8_v8i16
16011
414k
    8793316U, // ST1B
16012
414k
    8793316U, // ST1B_2Z
16013
414k
    393096420U, // ST1B_2Z_IMM
16014
414k
    671223059U, // ST1B_2Z_STRIDED
16015
414k
    688000275U, // ST1B_2Z_STRIDED_IMM
16016
414k
    8793316U, // ST1B_4Z
16017
414k
    393882852U, // ST1B_4Z_IMM
16018
414k
    8793316U, // ST1B_4Z_STRIDED
16019
414k
    393882852U, // ST1B_4Z_STRIDED_IMM
16020
414k
    8793316U, // ST1B_D
16021
414k
    387984612U, // ST1B_D_IMM
16022
414k
    8793316U, // ST1B_H
16023
414k
    387984612U, // ST1B_H_IMM
16024
414k
    387984612U, // ST1B_IMM
16025
414k
    8793316U, // ST1B_S
16026
414k
    387984612U, // ST1B_S_IMM
16027
414k
    8924388U, // ST1D
16028
414k
    8924388U, // ST1D_2Z
16029
414k
    393096420U, // ST1D_2Z_IMM
16030
414k
    8924388U, // ST1D_2Z_STRIDED
16031
414k
    393096420U, // ST1D_2Z_STRIDED_IMM
16032
414k
    8924388U, // ST1D_4Z
16033
414k
    393882852U, // ST1D_4Z_IMM
16034
414k
    8924388U, // ST1D_4Z_STRIDED
16035
414k
    393882852U, // ST1D_4Z_STRIDED_IMM
16036
414k
    387984612U, // ST1D_IMM
16037
414k
    8924388U, // ST1D_Q
16038
414k
    387984612U, // ST1D_Q_IMM
16039
414k
    0U, // ST1Fourv16b
16040
414k
    0U, // ST1Fourv16b_POST
16041
414k
    0U, // ST1Fourv1d
16042
414k
    0U, // ST1Fourv1d_POST
16043
414k
    0U, // ST1Fourv2d
16044
414k
    0U, // ST1Fourv2d_POST
16045
414k
    0U, // ST1Fourv2s
16046
414k
    0U, // ST1Fourv2s_POST
16047
414k
    0U, // ST1Fourv4h
16048
414k
    0U, // ST1Fourv4h_POST
16049
414k
    0U, // ST1Fourv4s
16050
414k
    0U, // ST1Fourv4s_POST
16051
414k
    0U, // ST1Fourv8b
16052
414k
    0U, // ST1Fourv8b_POST
16053
414k
    0U, // ST1Fourv8h
16054
414k
    0U, // ST1Fourv8h_POST
16055
414k
    9055460U, // ST1H
16056
414k
    9055460U, // ST1H_2Z
16057
414k
    393096420U, // ST1H_2Z_IMM
16058
414k
    704777491U, // ST1H_2Z_STRIDED
16059
414k
    688000275U, // ST1H_2Z_STRIDED_IMM
16060
414k
    9055460U, // ST1H_4Z
16061
414k
    393882852U, // ST1H_4Z_IMM
16062
414k
    9055460U, // ST1H_4Z_STRIDED
16063
414k
    393882852U, // ST1H_4Z_STRIDED_IMM
16064
414k
    9055460U, // ST1H_D
16065
414k
    387984612U, // ST1H_D_IMM
16066
414k
    387984612U, // ST1H_IMM
16067
414k
    9055460U, // ST1H_S
16068
414k
    387984612U, // ST1H_S_IMM
16069
414k
    0U, // ST1Onev16b
16070
414k
    0U, // ST1Onev16b_POST
16071
414k
    0U, // ST1Onev1d
16072
414k
    0U, // ST1Onev1d_POST
16073
414k
    0U, // ST1Onev2d
16074
414k
    0U, // ST1Onev2d_POST
16075
414k
    0U, // ST1Onev2s
16076
414k
    0U, // ST1Onev2s_POST
16077
414k
    0U, // ST1Onev4h
16078
414k
    0U, // ST1Onev4h_POST
16079
414k
    0U, // ST1Onev4s
16080
414k
    0U, // ST1Onev4s_POST
16081
414k
    0U, // ST1Onev8b
16082
414k
    0U, // ST1Onev8b_POST
16083
414k
    0U, // ST1Onev8h
16084
414k
    0U, // ST1Onev8h_POST
16085
414k
    0U, // ST1Threev16b
16086
414k
    0U, // ST1Threev16b_POST
16087
414k
    0U, // ST1Threev1d
16088
414k
    0U, // ST1Threev1d_POST
16089
414k
    0U, // ST1Threev2d
16090
414k
    0U, // ST1Threev2d_POST
16091
414k
    0U, // ST1Threev2s
16092
414k
    0U, // ST1Threev2s_POST
16093
414k
    0U, // ST1Threev4h
16094
414k
    0U, // ST1Threev4h_POST
16095
414k
    0U, // ST1Threev4s
16096
414k
    0U, // ST1Threev4s_POST
16097
414k
    0U, // ST1Threev8b
16098
414k
    0U, // ST1Threev8b_POST
16099
414k
    0U, // ST1Threev8h
16100
414k
    0U, // ST1Threev8h_POST
16101
414k
    0U, // ST1Twov16b
16102
414k
    0U, // ST1Twov16b_POST
16103
414k
    0U, // ST1Twov1d
16104
414k
    0U, // ST1Twov1d_POST
16105
414k
    0U, // ST1Twov2d
16106
414k
    0U, // ST1Twov2d_POST
16107
414k
    0U, // ST1Twov2s
16108
414k
    0U, // ST1Twov2s_POST
16109
414k
    0U, // ST1Twov4h
16110
414k
    0U, // ST1Twov4h_POST
16111
414k
    0U, // ST1Twov4s
16112
414k
    0U, // ST1Twov4s_POST
16113
414k
    0U, // ST1Twov8b
16114
414k
    0U, // ST1Twov8b_POST
16115
414k
    0U, // ST1Twov8h
16116
414k
    0U, // ST1Twov8h_POST
16117
414k
    9317604U, // ST1W
16118
414k
    9317604U, // ST1W_2Z
16119
414k
    393096420U, // ST1W_2Z_IMM
16120
414k
    9317604U, // ST1W_2Z_STRIDED
16121
414k
    393096420U, // ST1W_2Z_STRIDED_IMM
16122
414k
    9317604U, // ST1W_4Z
16123
414k
    393882852U, // ST1W_4Z_IMM
16124
414k
    9317604U, // ST1W_4Z_STRIDED
16125
414k
    393882852U, // ST1W_4Z_STRIDED_IMM
16126
414k
    9317604U, // ST1W_D
16127
414k
    387984612U, // ST1W_D_IMM
16128
414k
    387984612U, // ST1W_IMM
16129
414k
    9317604U, // ST1W_Q
16130
414k
    387984612U, // ST1W_Q_IMM
16131
414k
    9653848U, // ST1_MXIPXX_H_B
16132
414k
    9784920U, // ST1_MXIPXX_H_D
16133
414k
    9915992U, // ST1_MXIPXX_H_H
16134
414k
    10047064U,  // ST1_MXIPXX_H_Q
16135
414k
    10178136U,  // ST1_MXIPXX_H_S
16136
414k
    9653848U, // ST1_MXIPXX_V_B
16137
414k
    9784920U, // ST1_MXIPXX_V_D
16138
414k
    9915992U, // ST1_MXIPXX_V_H
16139
414k
    10047064U,  // ST1_MXIPXX_V_Q
16140
414k
    10178136U,  // ST1_MXIPXX_V_S
16141
414k
    0U, // ST1i16
16142
414k
    4U, // ST1i16_POST
16143
414k
    0U, // ST1i32
16144
414k
    4U, // ST1i32_POST
16145
414k
    0U, // ST1i64
16146
414k
    4U, // ST1i64_POST
16147
414k
    0U, // ST1i8
16148
414k
    5U, // ST1i8_POST
16149
414k
    8793316U, // ST2B
16150
414k
    393096420U, // ST2B_IMM
16151
414k
    8924388U, // ST2D
16152
414k
    393096420U, // ST2D_IMM
16153
414k
    62073U, // ST2GPostIndex
16154
414k
    10940505U,  // ST2GPreIndex
16155
414k
    3412056U, // ST2Gi
16156
414k
    9055460U, // ST2H
16157
414k
    393096420U, // ST2H_IMM
16158
414k
    10235108U,  // ST2Q
16159
414k
    393096420U, // ST2Q_IMM
16160
414k
    0U, // ST2Twov16b
16161
414k
    0U, // ST2Twov16b_POST
16162
414k
    0U, // ST2Twov2d
16163
414k
    0U, // ST2Twov2d_POST
16164
414k
    0U, // ST2Twov2s
16165
414k
    0U, // ST2Twov2s_POST
16166
414k
    0U, // ST2Twov4h
16167
414k
    0U, // ST2Twov4h_POST
16168
414k
    0U, // ST2Twov4s
16169
414k
    0U, // ST2Twov4s_POST
16170
414k
    0U, // ST2Twov8b
16171
414k
    0U, // ST2Twov8b_POST
16172
414k
    0U, // ST2Twov8h
16173
414k
    0U, // ST2Twov8h_POST
16174
414k
    9317604U, // ST2W
16175
414k
    393096420U, // ST2W_IMM
16176
414k
    0U, // ST2i16
16177
414k
    4U, // ST2i16_POST
16178
414k
    0U, // ST2i32
16179
414k
    4U, // ST2i32_POST
16180
414k
    0U, // ST2i64
16181
414k
    5U, // ST2i64_POST
16182
414k
    0U, // ST2i8
16183
414k
    4U, // ST2i8_POST
16184
414k
    8793316U, // ST3B
16185
414k
    10366180U,  // ST3B_IMM
16186
414k
    8924388U, // ST3D
16187
414k
    10366180U,  // ST3D_IMM
16188
414k
    9055460U, // ST3H
16189
414k
    10366180U,  // ST3H_IMM
16190
414k
    10235108U,  // ST3Q
16191
414k
    10366180U,  // ST3Q_IMM
16192
414k
    0U, // ST3Threev16b
16193
414k
    0U, // ST3Threev16b_POST
16194
414k
    0U, // ST3Threev2d
16195
414k
    0U, // ST3Threev2d_POST
16196
414k
    0U, // ST3Threev2s
16197
414k
    0U, // ST3Threev2s_POST
16198
414k
    0U, // ST3Threev4h
16199
414k
    0U, // ST3Threev4h_POST
16200
414k
    0U, // ST3Threev4s
16201
414k
    0U, // ST3Threev4s_POST
16202
414k
    0U, // ST3Threev8b
16203
414k
    0U, // ST3Threev8b_POST
16204
414k
    0U, // ST3Threev8h
16205
414k
    0U, // ST3Threev8h_POST
16206
414k
    9317604U, // ST3W
16207
414k
    10366180U,  // ST3W_IMM
16208
414k
    0U, // ST3i16
16209
414k
    5U, // ST3i16_POST
16210
414k
    0U, // ST3i32
16211
414k
    5U, // ST3i32_POST
16212
414k
    0U, // ST3i64
16213
414k
    5U, // ST3i64_POST
16214
414k
    0U, // ST3i8
16215
414k
    5U, // ST3i8_POST
16216
414k
    8793316U, // ST4B
16217
414k
    393882852U, // ST4B_IMM
16218
414k
    8924388U, // ST4D
16219
414k
    393882852U, // ST4D_IMM
16220
414k
    0U, // ST4Fourv16b
16221
414k
    0U, // ST4Fourv16b_POST
16222
414k
    0U, // ST4Fourv2d
16223
414k
    0U, // ST4Fourv2d_POST
16224
414k
    0U, // ST4Fourv2s
16225
414k
    0U, // ST4Fourv2s_POST
16226
414k
    0U, // ST4Fourv4h
16227
414k
    0U, // ST4Fourv4h_POST
16228
414k
    0U, // ST4Fourv4s
16229
414k
    0U, // ST4Fourv4s_POST
16230
414k
    0U, // ST4Fourv8b
16231
414k
    0U, // ST4Fourv8b_POST
16232
414k
    0U, // ST4Fourv8h
16233
414k
    0U, // ST4Fourv8h_POST
16234
414k
    9055460U, // ST4H
16235
414k
    393882852U, // ST4H_IMM
16236
414k
    10235108U,  // ST4Q
16237
414k
    393882852U, // ST4Q_IMM
16238
414k
    9317604U, // ST4W
16239
414k
    393882852U, // ST4W_IMM
16240
414k
    0U, // ST4i16
16241
414k
    4U, // ST4i16_POST
16242
414k
    0U, // ST4i32
16243
414k
    5U, // ST4i32_POST
16244
414k
    0U, // ST4i64
16245
414k
    5U, // ST4i64_POST
16246
414k
    0U, // ST4i8
16247
414k
    4U, // ST4i8_POST
16248
414k
    0U, // ST64B
16249
414k
    5U, // ST64BV
16250
414k
    5U, // ST64BV0
16251
414k
    608U, // STGM
16252
414k
    419564816U, // STGPi
16253
414k
    62073U, // STGPostIndex
16254
414k
    480551185U, // STGPpost
16255
414k
    469934353U, // STGPpre
16256
414k
    10940505U,  // STGPreIndex
16257
414k
    3412056U, // STGi
16258
414k
    3411216U, // STILPW
16259
414k
    11837713U,  // STILPWpre
16260
414k
    3411216U, // STILPX
16261
414k
    11968785U,  // STILPXpre
16262
414k
    0U, // STL1
16263
414k
    608U, // STLLRB
16264
414k
    608U, // STLLRH
16265
414k
    608U, // STLLRW
16266
414k
    608U, // STLLRX
16267
414k
    608U, // STLRB
16268
414k
    608U, // STLRH
16269
414k
    608U, // STLRW
16270
414k
    977U, // STLRWpre
16271
414k
    608U, // STLRX
16272
414k
    985U, // STLRXpre
16273
414k
    3411032U, // STLURBi
16274
414k
    3411032U, // STLURHi
16275
414k
    3411032U, // STLURWi
16276
414k
    3411032U, // STLURXi
16277
414k
    3411032U, // STLURbi
16278
414k
    3411032U, // STLURdi
16279
414k
    3411032U, // STLURhi
16280
414k
    3411032U, // STLURqi
16281
414k
    3411032U, // STLURsi
16282
414k
    12061784U,  // STLXPW
16283
414k
    12061784U,  // STLXPX
16284
414k
    3411216U, // STLXRB
16285
414k
    3411216U, // STLXRH
16286
414k
    3411216U, // STLXRW
16287
414k
    3411216U, // STLXRX
16288
414k
    402787600U, // STNPDi
16289
414k
    419564816U, // STNPQi
16290
414k
    436342032U, // STNPSi
16291
414k
    436342032U, // STNPWi
16292
414k
    402787600U, // STNPXi
16293
414k
    8793316U, // STNT1B_2Z
16294
414k
    393096420U, // STNT1B_2Z_IMM
16295
414k
    671223059U, // STNT1B_2Z_STRIDED
16296
414k
    688000275U, // STNT1B_2Z_STRIDED_IMM
16297
414k
    8793316U, // STNT1B_4Z
16298
414k
    393882852U, // STNT1B_4Z_IMM
16299
414k
    8793316U, // STNT1B_4Z_STRIDED
16300
414k
    393882852U, // STNT1B_4Z_STRIDED_IMM
16301
414k
    387984612U, // STNT1B_ZRI
16302
414k
    8793316U, // STNT1B_ZRR
16303
414k
    371207356U, // STNT1B_ZZR_D_REAL
16304
414k
    371207268U, // STNT1B_ZZR_S_REAL
16305
414k
    8924388U, // STNT1D_2Z
16306
414k
    393096420U, // STNT1D_2Z_IMM
16307
414k
    8924388U, // STNT1D_2Z_STRIDED
16308
414k
    393096420U, // STNT1D_2Z_STRIDED_IMM
16309
414k
    8924388U, // STNT1D_4Z
16310
414k
    393882852U, // STNT1D_4Z_IMM
16311
414k
    8924388U, // STNT1D_4Z_STRIDED
16312
414k
    393882852U, // STNT1D_4Z_STRIDED_IMM
16313
414k
    387984612U, // STNT1D_ZRI
16314
414k
    8924388U, // STNT1D_ZRR
16315
414k
    371207356U, // STNT1D_ZZR_D_REAL
16316
414k
    9055460U, // STNT1H_2Z
16317
414k
    393096420U, // STNT1H_2Z_IMM
16318
414k
    704777491U, // STNT1H_2Z_STRIDED
16319
414k
    688000275U, // STNT1H_2Z_STRIDED_IMM
16320
414k
    9055460U, // STNT1H_4Z
16321
414k
    393882852U, // STNT1H_4Z_IMM
16322
414k
    9055460U, // STNT1H_4Z_STRIDED
16323
414k
    393882852U, // STNT1H_4Z_STRIDED_IMM
16324
414k
    387984612U, // STNT1H_ZRI
16325
414k
    9055460U, // STNT1H_ZRR
16326
414k
    371207356U, // STNT1H_ZZR_D_REAL
16327
414k
    371207268U, // STNT1H_ZZR_S_REAL
16328
414k
    9317604U, // STNT1W_2Z
16329
414k
    393096420U, // STNT1W_2Z_IMM
16330
414k
    9317604U, // STNT1W_2Z_STRIDED
16331
414k
    393096420U, // STNT1W_2Z_STRIDED_IMM
16332
414k
    9317604U, // STNT1W_4Z
16333
414k
    393882852U, // STNT1W_4Z_IMM
16334
414k
    9317604U, // STNT1W_4Z_STRIDED
16335
414k
    393882852U, // STNT1W_4Z_STRIDED_IMM
16336
414k
    387984612U, // STNT1W_ZRI
16337
414k
    9317604U, // STNT1W_ZRR
16338
414k
    371207356U, // STNT1W_ZZR_D_REAL
16339
414k
    371207268U, // STNT1W_ZZR_S_REAL
16340
414k
    402787600U, // STPDi
16341
414k
    463773969U, // STPDpost
16342
414k
    453157137U, // STPDpre
16343
414k
    419564816U, // STPQi
16344
414k
    480551185U, // STPQpost
16345
414k
    469934353U, // STPQpre
16346
414k
    436342032U, // STPSi
16347
414k
    497328401U, // STPSpost
16348
414k
    486711569U, // STPSpre
16349
414k
    436342032U, // STPWi
16350
414k
    497328401U, // STPWpost
16351
414k
    486711569U, // STPWpre
16352
414k
    402787600U, // STPXi
16353
414k
    463773969U, // STPXpost
16354
414k
    453157137U, // STPXpre
16355
414k
    41593U, // STRBBpost
16356
414k
    10920025U,  // STRBBpre
16357
414k
    503450712U, // STRBBroW
16358
414k
    520227928U, // STRBBroX
16359
414k
    64600U, // STRBBui
16360
414k
    41593U, // STRBpost
16361
414k
    10920025U,  // STRBpre
16362
414k
    503450712U, // STRBroW
16363
414k
    520227928U, // STRBroX
16364
414k
    64600U, // STRBui
16365
414k
    41593U, // STRDpost
16366
414k
    10920025U,  // STRDpre
16367
414k
    537005144U, // STRDroW
16368
414k
    553782360U, // STRDroX
16369
414k
    65624U, // STRDui
16370
414k
    41593U, // STRHHpost
16371
414k
    10920025U,  // STRHHpre
16372
414k
    570559576U, // STRHHroW
16373
414k
    587336792U, // STRHHroX
16374
414k
    66648U, // STRHHui
16375
414k
    41593U, // STRHpost
16376
414k
    10920025U,  // STRHpre
16377
414k
    570559576U, // STRHroW
16378
414k
    587336792U, // STRHroX
16379
414k
    66648U, // STRHui
16380
414k
    41593U, // STRQpost
16381
414k
    10920025U,  // STRQpre
16382
414k
    604114008U, // STRQroW
16383
414k
    620891224U, // STRQroX
16384
414k
    67672U, // STRQui
16385
414k
    41593U, // STRSpost
16386
414k
    10920025U,  // STRSpre
16387
414k
    637668440U, // STRSroW
16388
414k
    654445656U, // STRSroX
16389
414k
    68696U, // STRSui
16390
414k
    41593U, // STRWpost
16391
414k
    10920025U,  // STRWpre
16392
414k
    637668440U, // STRWroW
16393
414k
    654445656U, // STRWroX
16394
414k
    68696U, // STRWui
16395
414k
    41593U, // STRXpost
16396
414k
    10920025U,  // STRXpre
16397
414k
    537005144U, // STRXroW
16398
414k
    553782360U, // STRXroX
16399
414k
    65624U, // STRXui
16400
414k
    11013208U,  // STR_PXI
16401
414k
    608U, // STR_TX
16402
414k
    0U, // STR_ZA
16403
414k
    11013208U,  // STR_ZXI
16404
414k
    3411032U, // STTRBi
16405
414k
    3411032U, // STTRHi
16406
414k
    3411032U, // STTRWi
16407
414k
    3411032U, // STTRXi
16408
414k
    3411032U, // STURBBi
16409
414k
    3411032U, // STURBi
16410
414k
    3411032U, // STURDi
16411
414k
    3411032U, // STURHHi
16412
414k
    3411032U, // STURHi
16413
414k
    3411032U, // STURQi
16414
414k
    3411032U, // STURSi
16415
414k
    3411032U, // STURWi
16416
414k
    3411032U, // STURXi
16417
414k
    12061784U,  // STXPW
16418
414k
    12061784U,  // STXPX
16419
414k
    3411216U, // STXRB
16420
414k
    3411216U, // STXRH
16421
414k
    3411216U, // STXRW
16422
414k
    3411216U, // STXRX
16423
414k
    62073U, // STZ2GPostIndex
16424
414k
    10940505U,  // STZ2GPreIndex
16425
414k
    3412056U, // STZ2Gi
16426
414k
    608U, // STZGM
16427
414k
    62073U, // STZGPostIndex
16428
414k
    10940505U,  // STZGPreIndex
16429
414k
    3412056U, // STZGi
16430
414k
    135256U,  // SUBG
16431
414k
    5208U,  // SUBHNB_ZZZ_B
16432
414k
    96U,  // SUBHNB_ZZZ_H
16433
414k
    6232U,  // SUBHNB_ZZZ_S
16434
414k
    7256U,  // SUBHNT_ZZZ_B
16435
414k
    24U,  // SUBHNT_ZZZ_H
16436
414k
    1112U,  // SUBHNT_ZZZ_S
16437
414k
    270440U,  // SUBHNv2i64_v2i32
16438
414k
    271464U,  // SUBHNv2i64_v4i32
16439
414k
    401520U,  // SUBHNv4i32_v4i16
16440
414k
    402544U,  // SUBHNv4i32_v8i16
16441
414k
    533624U,  // SUBHNv8i16_v16i8
16442
414k
    532600U,  // SUBHNv8i16_v8i8
16443
414k
    3160U,  // SUBP
16444
414k
    3160U,  // SUBPS
16445
414k
    658520U,  // SUBPT_shift
16446
414k
    16473U, // SUBR_ZI_B
16447
414k
    17496U, // SUBR_ZI_D
16448
414k
    208U, // SUBR_ZI_H
16449
414k
    18521U, // SUBR_ZI_S
16450
414k
    16918656U,  // SUBR_ZPmZ_B
16451
414k
    33691776U,  // SUBR_ZPmZ_D
16452
414k
    51129480U,  // SUBR_ZPmZ_H
16453
414k
    67252352U,  // SUBR_ZPmZ_S
16454
414k
    13400U, // SUBSWri
16455
414k
    14424U, // SUBSWrs
16456
414k
    15448U, // SUBSWrx
16457
414k
    13400U, // SUBSXri
16458
414k
    14424U, // SUBSXrs
16459
414k
    15448U, // SUBSXrx
16460
414k
    1444952U, // SUBSXrx64
16461
414k
    13400U, // SUBWri
16462
414k
    14424U, // SUBWrs
16463
414k
    15448U, // SUBWrx
16464
414k
    13400U, // SUBXri
16465
414k
    14424U, // SUBXrs
16466
414k
    15448U, // SUBXrx
16467
414k
    1444952U, // SUBXrx64
16468
414k
    1584320U, // SUB_VG2_M2Z2Z_D
16469
414k
    1715400U, // SUB_VG2_M2Z2Z_S
16470
414k
    52178112U,  // SUB_VG2_M2ZZ_D
16471
414k
    52309192U,  // SUB_VG2_M2ZZ_S
16472
414k
    192U, // SUB_VG2_M2Z_D
16473
414k
    200U, // SUB_VG2_M2Z_S
16474
414k
    1584320U, // SUB_VG4_M4Z4Z_D
16475
414k
    1715400U, // SUB_VG4_M4Z4Z_S
16476
414k
    52178112U,  // SUB_VG4_M4ZZ_D
16477
414k
    52309192U,  // SUB_VG4_M4ZZ_S
16478
414k
    192U, // SUB_VG4_M4Z_D
16479
414k
    200U, // SUB_VG4_M4Z_S
16480
414k
    16473U, // SUB_ZI_B
16481
414k
    17496U, // SUB_ZI_D
16482
414k
    208U, // SUB_ZI_H
16483
414k
    18521U, // SUB_ZI_S
16484
414k
    16918656U,  // SUB_ZPmZ_B
16485
414k
    33691776U,  // SUB_ZPmZ_CPA
16486
414k
    33691776U,  // SUB_ZPmZ_D
16487
414k
    51129480U,  // SUB_ZPmZ_H
16488
414k
    67252352U,  // SUB_ZPmZ_S
16489
414k
    10329U, // SUB_ZZZ_B
16490
414k
    6232U,  // SUB_ZZZ_CPA
16491
414k
    6232U,  // SUB_ZZZ_D
16492
414k
    136U, // SUB_ZZZ_H
16493
414k
    12377U, // SUB_ZZZ_S
16494
414k
    925840U,  // SUBv16i8
16495
414k
    3160U,  // SUBv1i64
16496
414k
    1056920U, // SUBv2i32
16497
414k
    270440U,  // SUBv2i64
16498
414k
    1188000U, // SUBv4i16
16499
414k
    401520U,  // SUBv4i32
16500
414k
    532600U,  // SUBv8i16
16501
414k
    1319080U, // SUBv8i8
16502
414k
    5029400U, // SUDOT_VG2_M2ZZI_BToS
16503
414k
    48664U, // SUDOT_VG2_M2ZZ_BToS
16504
414k
    5029400U, // SUDOT_VG4_M4ZZI_BToS
16505
414k
    48664U, // SUDOT_VG4_M4ZZ_BToS
16506
414k
    38921U, // SUDOT_ZZZI
16507
414k
    5121168U, // SUDOTlanev16i8
16508
414k
    5121192U, // SUDOTlanev8i8
16509
414k
    38441U, // SUMLALL_MZZI_BtoS
16510
414k
    5029400U, // SUMLALL_VG2_M2ZZI_BtoS
16511
414k
    48666U, // SUMLALL_VG2_M2ZZ_BtoS
16512
414k
    5029400U, // SUMLALL_VG4_M4ZZI_BtoS
16513
414k
    48667U, // SUMLALL_VG4_M4ZZ_BtoS
16514
414k
    0U, // SUMOPA_MPPZZ_D
16515
414k
    0U, // SUMOPA_MPPZZ_S
16516
414k
    0U, // SUMOPS_MPPZZ_D
16517
414k
    0U, // SUMOPS_MPPZZ_S
16518
414k
    1U, // SUNPKHI_ZZ_D
16519
414k
    0U, // SUNPKHI_ZZ_H
16520
414k
    0U, // SUNPKHI_ZZ_S
16521
414k
    1U, // SUNPKLO_ZZ_D
16522
414k
    0U, // SUNPKLO_ZZ_H
16523
414k
    0U, // SUNPKLO_ZZ_S
16524
414k
    0U, // SUNPK_VG2_2ZZ_D
16525
414k
    0U, // SUNPK_VG2_2ZZ_H
16526
414k
    0U, // SUNPK_VG2_2ZZ_S
16527
414k
    0U, // SUNPK_VG4_4Z2Z_D
16528
414k
    0U, // SUNPK_VG4_4Z2Z_H
16529
414k
    0U, // SUNPK_VG4_4Z2Z_S
16530
414k
    16918656U,  // SUQADD_ZPmZ_B
16531
414k
    33691776U,  // SUQADD_ZPmZ_D
16532
414k
    51129480U,  // SUQADD_ZPmZ_H
16533
414k
    67252352U,  // SUQADD_ZPmZ_S
16534
414k
    32U,  // SUQADDv16i8
16535
414k
    1U, // SUQADDv1i16
16536
414k
    1U, // SUQADDv1i32
16537
414k
    1U, // SUQADDv1i64
16538
414k
    1U, // SUQADDv1i8
16539
414k
    40U,  // SUQADDv2i32
16540
414k
    48U,  // SUQADDv2i64
16541
414k
    56U,  // SUQADDv4i16
16542
414k
    64U,  // SUQADDv4i32
16543
414k
    72U,  // SUQADDv8i16
16544
414k
    80U,  // SUQADDv8i8
16545
414k
    5029400U, // SUVDOT_VG4_M4ZZI_BToS
16546
414k
    0U, // SVC
16547
414k
    103427304U, // SVDOT_VG2_M2ZZI_HtoS
16548
414k
    5029400U, // SVDOT_VG4_M4ZZI_BtoS
16549
414k
    103427304U, // SVDOT_VG4_M4ZZI_HtoD
16550
414k
    3U, // SWPAB
16551
414k
    3U, // SWPAH
16552
414k
    3U, // SWPALB
16553
414k
    3U, // SWPALH
16554
414k
    3U, // SWPALW
16555
414k
    3U, // SWPALX
16556
414k
    3U, // SWPAW
16557
414k
    3U, // SWPAX
16558
414k
    3U, // SWPB
16559
414k
    3U, // SWPH
16560
414k
    3U, // SWPLB
16561
414k
    3U, // SWPLH
16562
414k
    3U, // SWPLW
16563
414k
    3U, // SWPLX
16564
414k
    60690U, // SWPP
16565
414k
    60690U, // SWPPA
16566
414k
    60690U, // SWPPAL
16567
414k
    60690U, // SWPPL
16568
414k
    3U, // SWPW
16569
414k
    3U, // SWPX
16570
414k
    16U,  // SXTB_ZPmZ_D
16571
414k
    0U, // SXTB_ZPmZ_H
16572
414k
    24U,  // SXTB_ZPmZ_S
16573
414k
    16U,  // SXTH_ZPmZ_D
16574
414k
    24U,  // SXTH_ZPmZ_S
16575
414k
    16U,  // SXTW_ZPmZ_D
16576
414k
    86104U, // SYSLxt
16577
414k
    997U, // SYSPxt
16578
414k
    1005U,  // SYSPxt_XZR
16579
414k
    1013U,  // SYSxt
16580
414k
    178U, // TBLQ_ZZZ_B
16581
414k
    5U, // TBLQ_ZZZ_D
16582
414k
    136U, // TBLQ_ZZZ_H
16583
414k
    12378U, // TBLQ_ZZZ_S
16584
414k
    178U, // TBL_ZZZZ_B
16585
414k
    5U, // TBL_ZZZZ_D
16586
414k
    136U, // TBL_ZZZZ_H
16587
414k
    12378U, // TBL_ZZZZ_S
16588
414k
    178U, // TBL_ZZZ_B
16589
414k
    5U, // TBL_ZZZ_D
16590
414k
    136U, // TBL_ZZZ_H
16591
414k
    12378U, // TBL_ZZZ_S
16592
414k
    35U,  // TBLv16i8Four
16593
414k
    35U,  // TBLv16i8One
16594
414k
    35U,  // TBLv16i8Three
16595
414k
    35U,  // TBLv16i8Two
16596
414k
    83U,  // TBLv8i8Four
16597
414k
    83U,  // TBLv8i8One
16598
414k
    83U,  // TBLv8i8Three
16599
414k
    83U,  // TBLv8i8Two
16600
414k
    87128U, // TBNZW
16601
414k
    87128U, // TBNZX
16602
414k
    9U, // TBXQ_ZZZ_B
16603
414k
    1112U,  // TBXQ_ZZZ_D
16604
414k
    240U, // TBXQ_ZZZ_H
16605
414k
    2136U,  // TBXQ_ZZZ_S
16606
414k
    9U, // TBX_ZZZ_B
16607
414k
    1112U,  // TBX_ZZZ_D
16608
414k
    240U, // TBX_ZZZ_H
16609
414k
    2136U,  // TBX_ZZZ_S
16610
414k
    37U,  // TBXv16i8Four
16611
414k
    37U,  // TBXv16i8One
16612
414k
    37U,  // TBXv16i8Three
16613
414k
    37U,  // TBXv16i8Two
16614
414k
    85U,  // TBXv8i8Four
16615
414k
    85U,  // TBXv8i8One
16616
414k
    85U,  // TBXv8i8Three
16617
414k
    85U,  // TBXv8i8Two
16618
414k
    87128U, // TBZW
16619
414k
    87128U, // TBZX
16620
414k
    0U, // TCANCEL
16621
414k
    0U, // TCOMMIT
16622
414k
    0U, // TRCIT
16623
414k
    10329U, // TRN1_PPP_B
16624
414k
    6232U,  // TRN1_PPP_D
16625
414k
    136U, // TRN1_PPP_H
16626
414k
    12377U, // TRN1_PPP_S
16627
414k
    10329U, // TRN1_ZZZ_B
16628
414k
    6232U,  // TRN1_ZZZ_D
16629
414k
    136U, // TRN1_ZZZ_H
16630
414k
    1016U,  // TRN1_ZZZ_Q
16631
414k
    12377U, // TRN1_ZZZ_S
16632
414k
    925840U,  // TRN1v16i8
16633
414k
    1056920U, // TRN1v2i32
16634
414k
    270440U,  // TRN1v2i64
16635
414k
    1188000U, // TRN1v4i16
16636
414k
    401520U,  // TRN1v4i32
16637
414k
    532600U,  // TRN1v8i16
16638
414k
    1319080U, // TRN1v8i8
16639
414k
    10329U, // TRN2_PPP_B
16640
414k
    6232U,  // TRN2_PPP_D
16641
414k
    136U, // TRN2_PPP_H
16642
414k
    12377U, // TRN2_PPP_S
16643
414k
    10329U, // TRN2_ZZZ_B
16644
414k
    6232U,  // TRN2_ZZZ_D
16645
414k
    136U, // TRN2_ZZZ_H
16646
414k
    1016U,  // TRN2_ZZZ_Q
16647
414k
    12377U, // TRN2_ZZZ_S
16648
414k
    925840U,  // TRN2v16i8
16649
414k
    1056920U, // TRN2v2i32
16650
414k
    270440U,  // TRN2v2i64
16651
414k
    1188000U, // TRN2v4i16
16652
414k
    401520U,  // TRN2v4i32
16653
414k
    532600U,  // TRN2v8i16
16654
414k
    1319080U, // TRN2v8i8
16655
414k
    0U, // TSB
16656
414k
    0U, // TSTART
16657
414k
    0U, // TTEST
16658
414k
    2136U,  // UABALB_ZZZ_D
16659
414k
    8U, // UABALB_ZZZ_H
16660
414k
    7256U,  // UABALB_ZZZ_S
16661
414k
    2136U,  // UABALT_ZZZ_D
16662
414k
    8U, // UABALT_ZZZ_H
16663
414k
    7256U,  // UABALT_ZZZ_S
16664
414k
    926864U,  // UABALv16i8_v8i16
16665
414k
    1057944U, // UABALv2i32_v2i64
16666
414k
    1189024U, // UABALv4i16_v4i32
16667
414k
    402544U,  // UABALv4i32_v2i64
16668
414k
    533624U,  // UABALv8i16_v4i32
16669
414k
    1320104U, // UABALv8i8_v8i16
16670
414k
    9U, // UABA_ZZZ_B
16671
414k
    1112U,  // UABA_ZZZ_D
16672
414k
    240U, // UABA_ZZZ_H
16673
414k
    2136U,  // UABA_ZZZ_S
16674
414k
    926864U,  // UABAv16i8
16675
414k
    1057944U, // UABAv2i32
16676
414k
    1189024U, // UABAv4i16
16677
414k
    402544U,  // UABAv4i32
16678
414k
    533624U,  // UABAv8i16
16679
414k
    1320104U, // UABAv8i8
16680
414k
    12377U, // UABDLB_ZZZ_D
16681
414k
    176U, // UABDLB_ZZZ_H
16682
414k
    5208U,  // UABDLB_ZZZ_S
16683
414k
    12377U, // UABDLT_ZZZ_D
16684
414k
    176U, // UABDLT_ZZZ_H
16685
414k
    5208U,  // UABDLT_ZZZ_S
16686
414k
    925840U,  // UABDLv16i8_v8i16
16687
414k
    1056920U, // UABDLv2i32_v2i64
16688
414k
    1188000U, // UABDLv4i16_v4i32
16689
414k
    401520U,  // UABDLv4i32_v2i64
16690
414k
    532600U,  // UABDLv8i16_v4i32
16691
414k
    1319080U, // UABDLv8i8_v8i16
16692
414k
    16918656U,  // UABD_ZPmZ_B
16693
414k
    33691776U,  // UABD_ZPmZ_D
16694
414k
    51129480U,  // UABD_ZPmZ_H
16695
414k
    67252352U,  // UABD_ZPmZ_S
16696
414k
    925840U,  // UABDv16i8
16697
414k
    1056920U, // UABDv2i32
16698
414k
    1188000U, // UABDv4i16
16699
414k
    401520U,  // UABDv4i32
16700
414k
    532600U,  // UABDv8i16
16701
414k
    1319080U, // UABDv8i8
16702
414k
    2176U,  // UADALP_ZPmZ_D
16703
414k
    8U, // UADALP_ZPmZ_H
16704
414k
    7296U,  // UADALP_ZPmZ_S
16705
414k
    32U,  // UADALPv16i8_v8i16
16706
414k
    40U,  // UADALPv2i32_v1i64
16707
414k
    56U,  // UADALPv4i16_v2i32
16708
414k
    64U,  // UADALPv4i32_v2i64
16709
414k
    72U,  // UADALPv8i16_v4i32
16710
414k
    80U,  // UADALPv8i8_v4i16
16711
414k
    12377U, // UADDLB_ZZZ_D
16712
414k
    176U, // UADDLB_ZZZ_H
16713
414k
    5208U,  // UADDLB_ZZZ_S
16714
414k
    32U,  // UADDLPv16i8_v8i16
16715
414k
    40U,  // UADDLPv2i32_v1i64
16716
414k
    56U,  // UADDLPv4i16_v2i32
16717
414k
    64U,  // UADDLPv4i32_v2i64
16718
414k
    72U,  // UADDLPv8i16_v4i32
16719
414k
    80U,  // UADDLPv8i8_v4i16
16720
414k
    12377U, // UADDLT_ZZZ_D
16721
414k
    176U, // UADDLT_ZZZ_H
16722
414k
    5208U,  // UADDLT_ZZZ_S
16723
414k
    32U,  // UADDLVv16i8v
16724
414k
    56U,  // UADDLVv4i16v
16725
414k
    64U,  // UADDLVv4i32v
16726
414k
    72U,  // UADDLVv8i16v
16727
414k
    80U,  // UADDLVv8i8v
16728
414k
    925840U,  // UADDLv16i8_v8i16
16729
414k
    1056920U, // UADDLv2i32_v2i64
16730
414k
    1188000U, // UADDLv4i16_v4i32
16731
414k
    401520U,  // UADDLv4i32_v2i64
16732
414k
    532600U,  // UADDLv8i16_v4i32
16733
414k
    1319080U, // UADDLv8i8_v8i16
16734
414k
    0U, // UADDV_VPZ_B
16735
414k
    0U, // UADDV_VPZ_D
16736
414k
    0U, // UADDV_VPZ_H
16737
414k
    0U, // UADDV_VPZ_S
16738
414k
    12376U, // UADDWB_ZZZ_D
16739
414k
    176U, // UADDWB_ZZZ_H
16740
414k
    5209U,  // UADDWB_ZZZ_S
16741
414k
    12376U, // UADDWT_ZZZ_D
16742
414k
    176U, // UADDWT_ZZZ_H
16743
414k
    5209U,  // UADDWT_ZZZ_S
16744
414k
    925816U,  // UADDWv16i8_v8i16
16745
414k
    1056872U, // UADDWv2i32_v2i64
16746
414k
    1187952U, // UADDWv4i16_v4i32
16747
414k
    401512U,  // UADDWv4i32_v2i64
16748
414k
    532592U,  // UADDWv8i16_v4i32
16749
414k
    1319032U, // UADDWv8i8_v8i16
16750
414k
    134232U,  // UBFMWri
16751
414k
    134232U,  // UBFMXri
16752
414k
    8U, // UCLAMP_VG2_2Z2Z_B
16753
414k
    16U,  // UCLAMP_VG2_2Z2Z_D
16754
414k
    240U, // UCLAMP_VG2_2Z2Z_H
16755
414k
    24U,  // UCLAMP_VG2_2Z2Z_S
16756
414k
    8U, // UCLAMP_VG4_4Z4Z_B
16757
414k
    16U,  // UCLAMP_VG4_4Z4Z_D
16758
414k
    240U, // UCLAMP_VG4_4Z4Z_H
16759
414k
    24U,  // UCLAMP_VG4_4Z4Z_S
16760
414k
    9U, // UCLAMP_ZZZ_B
16761
414k
    1112U,  // UCLAMP_ZZZ_D
16762
414k
    240U, // UCLAMP_ZZZ_H
16763
414k
    2136U,  // UCLAMP_ZZZ_S
16764
414k
    3160U,  // UCVTFSWDri
16765
414k
    3160U,  // UCVTFSWHri
16766
414k
    3160U,  // UCVTFSWSri
16767
414k
    3160U,  // UCVTFSXDri
16768
414k
    3160U,  // UCVTFSXHri
16769
414k
    3160U,  // UCVTFSXSri
16770
414k
    0U, // UCVTFUWDri
16771
414k
    0U, // UCVTFUWHri
16772
414k
    0U, // UCVTFUWSri
16773
414k
    0U, // UCVTFUXDri
16774
414k
    0U, // UCVTFUXHri
16775
414k
    0U, // UCVTFUXSri
16776
414k
    0U, // UCVTF_2Z2Z_StoS
16777
414k
    0U, // UCVTF_4Z4Z_StoS
16778
414k
    16U,  // UCVTF_ZPmZ_DtoD
16779
414k
    2U, // UCVTF_ZPmZ_DtoH
16780
414k
    16U,  // UCVTF_ZPmZ_DtoS
16781
414k
    0U, // UCVTF_ZPmZ_HtoH
16782
414k
    24U,  // UCVTF_ZPmZ_StoD
16783
414k
    1U, // UCVTF_ZPmZ_StoH
16784
414k
    24U,  // UCVTF_ZPmZ_StoS
16785
414k
    3160U,  // UCVTFd
16786
414k
    3160U,  // UCVTFh
16787
414k
    3160U,  // UCVTFs
16788
414k
    0U, // UCVTFv1i16
16789
414k
    0U, // UCVTFv1i32
16790
414k
    0U, // UCVTFv1i64
16791
414k
    40U,  // UCVTFv2f32
16792
414k
    48U,  // UCVTFv2f64
16793
414k
    3224U,  // UCVTFv2i32_shift
16794
414k
    3176U,  // UCVTFv2i64_shift
16795
414k
    56U,  // UCVTFv4f16
16796
414k
    64U,  // UCVTFv4f32
16797
414k
    3232U,  // UCVTFv4i16_shift
16798
414k
    3184U,  // UCVTFv4i32_shift
16799
414k
    72U,  // UCVTFv8f16
16800
414k
    3192U,  // UCVTFv8i16_shift
16801
414k
    0U, // UDF
16802
414k
    33691776U,  // UDIVR_ZPmZ_D
16803
414k
    67252352U,  // UDIVR_ZPmZ_S
16804
414k
    3160U,  // UDIVWr
16805
414k
    3160U,  // UDIVXr
16806
414k
    33691776U,  // UDIV_ZPmZ_D
16807
414k
    67252352U,  // UDIV_ZPmZ_S
16808
414k
    47640U, // UDOT_VG2_M2Z2Z_BtoS
16809
414k
    2632936U, // UDOT_VG2_M2Z2Z_HtoD
16810
414k
    2632936U, // UDOT_VG2_M2Z2Z_HtoS
16811
414k
    5029400U, // UDOT_VG2_M2ZZI_BToS
16812
414k
    103427304U, // UDOT_VG2_M2ZZI_HToS
16813
414k
    103427304U, // UDOT_VG2_M2ZZI_HtoD
16814
414k
    48664U, // UDOT_VG2_M2ZZ_BtoS
16815
414k
    53095656U,  // UDOT_VG2_M2ZZ_HtoD
16816
414k
    53095656U,  // UDOT_VG2_M2ZZ_HtoS
16817
414k
    47640U, // UDOT_VG4_M4Z4Z_BtoS
16818
414k
    2632936U, // UDOT_VG4_M4Z4Z_HtoD
16819
414k
    2632936U, // UDOT_VG4_M4Z4Z_HtoS
16820
414k
    5029400U, // UDOT_VG4_M4ZZI_BtoS
16821
414k
    103427304U, // UDOT_VG4_M4ZZI_HToS
16822
414k
    103427304U, // UDOT_VG4_M4ZZI_HtoD
16823
414k
    48664U, // UDOT_VG4_M4ZZ_BtoS
16824
414k
    53095656U,  // UDOT_VG4_M4ZZ_HtoD
16825
414k
    53095656U,  // UDOT_VG4_M4ZZ_HtoS
16826
414k
    53222488U,  // UDOT_ZZZI_D
16827
414k
    53222488U,  // UDOT_ZZZI_HtoS
16828
414k
    38921U, // UDOT_ZZZI_S
16829
414k
    7256U,  // UDOT_ZZZ_D
16830
414k
    7256U,  // UDOT_ZZZ_HtoS
16831
414k
    9U, // UDOT_ZZZ_S
16832
414k
    5121168U, // UDOTlanev16i8
16833
414k
    5121192U, // UDOTlanev8i8
16834
414k
    926864U,  // UDOTv16i8
16835
414k
    1320104U, // UDOTv8i8
16836
414k
    16918656U,  // UHADD_ZPmZ_B
16837
414k
    33691776U,  // UHADD_ZPmZ_D
16838
414k
    51129480U,  // UHADD_ZPmZ_H
16839
414k
    67252352U,  // UHADD_ZPmZ_S
16840
414k
    925840U,  // UHADDv16i8
16841
414k
    1056920U, // UHADDv2i32
16842
414k
    1188000U, // UHADDv4i16
16843
414k
    401520U,  // UHADDv4i32
16844
414k
    532600U,  // UHADDv8i16
16845
414k
    1319080U, // UHADDv8i8
16846
414k
    16918656U,  // UHSUBR_ZPmZ_B
16847
414k
    33691776U,  // UHSUBR_ZPmZ_D
16848
414k
    51129480U,  // UHSUBR_ZPmZ_H
16849
414k
    67252352U,  // UHSUBR_ZPmZ_S
16850
414k
    16918656U,  // UHSUB_ZPmZ_B
16851
414k
    33691776U,  // UHSUB_ZPmZ_D
16852
414k
    51129480U,  // UHSUB_ZPmZ_H
16853
414k
    67252352U,  // UHSUB_ZPmZ_S
16854
414k
    925840U,  // UHSUBv16i8
16855
414k
    1056920U, // UHSUBv2i32
16856
414k
    1188000U, // UHSUBv4i16
16857
414k
    401520U,  // UHSUBv4i32
16858
414k
    532600U,  // UHSUBv8i16
16859
414k
    1319080U, // UHSUBv8i8
16860
414k
    134232U,  // UMADDLrrr
16861
414k
    16918656U,  // UMAXP_ZPmZ_B
16862
414k
    33691776U,  // UMAXP_ZPmZ_D
16863
414k
    51129480U,  // UMAXP_ZPmZ_H
16864
414k
    67252352U,  // UMAXP_ZPmZ_S
16865
414k
    925840U,  // UMAXPv16i8
16866
414k
    1056920U, // UMAXPv2i32
16867
414k
    1188000U, // UMAXPv4i16
16868
414k
    401520U,  // UMAXPv4i32
16869
414k
    532600U,  // UMAXPv8i16
16870
414k
    1319080U, // UMAXPv8i8
16871
414k
    10328U, // UMAXQV_VPZ_B
16872
414k
    6232U,  // UMAXQV_VPZ_D
16873
414k
    5208U,  // UMAXQV_VPZ_H
16874
414k
    12376U, // UMAXQV_VPZ_S
16875
414k
    0U, // UMAXV_VPZ_B
16876
414k
    0U, // UMAXV_VPZ_D
16877
414k
    0U, // UMAXV_VPZ_H
16878
414k
    0U, // UMAXV_VPZ_S
16879
414k
    32U,  // UMAXVv16i8v
16880
414k
    56U,  // UMAXVv4i16v
16881
414k
    64U,  // UMAXVv4i32v
16882
414k
    72U,  // UMAXVv8i16v
16883
414k
    80U,  // UMAXVv8i8v
16884
414k
    3160U,  // UMAXWri
16885
414k
    3160U,  // UMAXWrr
16886
414k
    3160U,  // UMAXXri
16887
414k
    3160U,  // UMAXXrr
16888
414k
    920U, // UMAX_VG2_2Z2Z_B
16889
414k
    464U, // UMAX_VG2_2Z2Z_D
16890
414k
    248U, // UMAX_VG2_2Z2Z_H
16891
414k
    472U, // UMAX_VG2_2Z2Z_S
16892
414k
    176U, // UMAX_VG2_2ZZ_B
16893
414k
    184U, // UMAX_VG2_2ZZ_D
16894
414k
    136U, // UMAX_VG2_2ZZ_H
16895
414k
    96U,  // UMAX_VG2_2ZZ_S
16896
414k
    920U, // UMAX_VG4_4Z4Z_B
16897
414k
    464U, // UMAX_VG4_4Z4Z_D
16898
414k
    248U, // UMAX_VG4_4Z4Z_H
16899
414k
    472U, // UMAX_VG4_4Z4Z_S
16900
414k
    176U, // UMAX_VG4_4ZZ_B
16901
414k
    184U, // UMAX_VG4_4ZZ_D
16902
414k
    136U, // UMAX_VG4_4ZZ_H
16903
414k
    96U,  // UMAX_VG4_4ZZ_S
16904
414k
    88153U, // UMAX_ZI_B
16905
414k
    88152U, // UMAX_ZI_D
16906
414k
    448U, // UMAX_ZI_H
16907
414k
    88153U, // UMAX_ZI_S
16908
414k
    16918656U,  // UMAX_ZPmZ_B
16909
414k
    33691776U,  // UMAX_ZPmZ_D
16910
414k
    51129480U,  // UMAX_ZPmZ_H
16911
414k
    67252352U,  // UMAX_ZPmZ_S
16912
414k
    925840U,  // UMAXv16i8
16913
414k
    1056920U, // UMAXv2i32
16914
414k
    1188000U, // UMAXv4i16
16915
414k
    401520U,  // UMAXv4i32
16916
414k
    532600U,  // UMAXv8i16
16917
414k
    1319080U, // UMAXv8i8
16918
414k
    16918656U,  // UMINP_ZPmZ_B
16919
414k
    33691776U,  // UMINP_ZPmZ_D
16920
414k
    51129480U,  // UMINP_ZPmZ_H
16921
414k
    67252352U,  // UMINP_ZPmZ_S
16922
414k
    925840U,  // UMINPv16i8
16923
414k
    1056920U, // UMINPv2i32
16924
414k
    1188000U, // UMINPv4i16
16925
414k
    401520U,  // UMINPv4i32
16926
414k
    532600U,  // UMINPv8i16
16927
414k
    1319080U, // UMINPv8i8
16928
414k
    10328U, // UMINQV_VPZ_B
16929
414k
    6232U,  // UMINQV_VPZ_D
16930
414k
    5208U,  // UMINQV_VPZ_H
16931
414k
    12376U, // UMINQV_VPZ_S
16932
414k
    0U, // UMINV_VPZ_B
16933
414k
    0U, // UMINV_VPZ_D
16934
414k
    0U, // UMINV_VPZ_H
16935
414k
    0U, // UMINV_VPZ_S
16936
414k
    32U,  // UMINVv16i8v
16937
414k
    56U,  // UMINVv4i16v
16938
414k
    64U,  // UMINVv4i32v
16939
414k
    72U,  // UMINVv8i16v
16940
414k
    80U,  // UMINVv8i8v
16941
414k
    3160U,  // UMINWri
16942
414k
    3160U,  // UMINWrr
16943
414k
    3160U,  // UMINXri
16944
414k
    3160U,  // UMINXrr
16945
414k
    920U, // UMIN_VG2_2Z2Z_B
16946
414k
    464U, // UMIN_VG2_2Z2Z_D
16947
414k
    248U, // UMIN_VG2_2Z2Z_H
16948
414k
    472U, // UMIN_VG2_2Z2Z_S
16949
414k
    176U, // UMIN_VG2_2ZZ_B
16950
414k
    184U, // UMIN_VG2_2ZZ_D
16951
414k
    136U, // UMIN_VG2_2ZZ_H
16952
414k
    96U,  // UMIN_VG2_2ZZ_S
16953
414k
    920U, // UMIN_VG4_4Z4Z_B
16954
414k
    464U, // UMIN_VG4_4Z4Z_D
16955
414k
    248U, // UMIN_VG4_4Z4Z_H
16956
414k
    472U, // UMIN_VG4_4Z4Z_S
16957
414k
    176U, // UMIN_VG4_4ZZ_B
16958
414k
    184U, // UMIN_VG4_4ZZ_D
16959
414k
    136U, // UMIN_VG4_4ZZ_H
16960
414k
    96U,  // UMIN_VG4_4ZZ_S
16961
414k
    88153U, // UMIN_ZI_B
16962
414k
    88152U, // UMIN_ZI_D
16963
414k
    448U, // UMIN_ZI_H
16964
414k
    88153U, // UMIN_ZI_S
16965
414k
    16918656U,  // UMIN_ZPmZ_B
16966
414k
    33691776U,  // UMIN_ZPmZ_D
16967
414k
    51129480U,  // UMIN_ZPmZ_H
16968
414k
    67252352U,  // UMIN_ZPmZ_S
16969
414k
    925840U,  // UMINv16i8
16970
414k
    1056920U, // UMINv2i32
16971
414k
    1188000U, // UMINv4i16
16972
414k
    401520U,  // UMINv4i32
16973
414k
    532600U,  // UMINv8i16
16974
414k
    1319080U, // UMINv8i8
16975
414k
    53217368U,  // UMLALB_ZZZI_D
16976
414k
    53222488U,  // UMLALB_ZZZI_S
16977
414k
    2136U,  // UMLALB_ZZZ_D
16978
414k
    8U, // UMLALB_ZZZ_H
16979
414k
    7256U,  // UMLALB_ZZZ_S
16980
414k
    38441U, // UMLALL_MZZI_BtoS
16981
414k
    38145U, // UMLALL_MZZI_HtoD
16982
414k
    553U, // UMLALL_MZZ_BtoS
16983
414k
    257U, // UMLALL_MZZ_HtoD
16984
414k
    47640U, // UMLALL_VG2_M2Z2Z_BtoS
16985
414k
    2632936U, // UMLALL_VG2_M2Z2Z_HtoD
16986
414k
    5029400U, // UMLALL_VG2_M2ZZI_BtoS
16987
414k
    103427304U, // UMLALL_VG2_M2ZZI_HtoD
16988
414k
    48666U, // UMLALL_VG2_M2ZZ_BtoS
16989
414k
    53095658U,  // UMLALL_VG2_M2ZZ_HtoD
16990
414k
    47640U, // UMLALL_VG4_M4Z4Z_BtoS
16991
414k
    2632936U, // UMLALL_VG4_M4Z4Z_HtoD
16992
414k
    5029400U, // UMLALL_VG4_M4ZZI_BtoS
16993
414k
    103427304U, // UMLALL_VG4_M4ZZI_HtoD
16994
414k
    48667U, // UMLALL_VG4_M4ZZ_BtoS
16995
414k
    53095659U,  // UMLALL_VG4_M4ZZ_HtoD
16996
414k
    53217368U,  // UMLALT_ZZZI_D
16997
414k
    53222488U,  // UMLALT_ZZZI_S
16998
414k
    2136U,  // UMLALT_ZZZ_D
16999
414k
    8U, // UMLALT_ZZZ_H
17000
414k
    7256U,  // UMLALT_ZZZ_S
17001
414k
    38145U, // UMLAL_MZZI_HtoS
17002
414k
    257U, // UMLAL_MZZ_HtoS
17003
414k
    2632936U, // UMLAL_VG2_M2Z2Z_HtoS
17004
414k
    103427304U, // UMLAL_VG2_M2ZZI_S
17005
414k
    53095656U,  // UMLAL_VG2_M2ZZ_HtoS
17006
414k
    2632936U, // UMLAL_VG4_M4Z4Z_HtoS
17007
414k
    103427304U, // UMLAL_VG4_M4ZZI_HtoS
17008
414k
    53095656U,  // UMLAL_VG4_M4ZZ_HtoS
17009
414k
    926864U,  // UMLALv16i8_v8i16
17010
414k
    122299544U, // UMLALv2i32_indexed
17011
414k
    1057944U, // UMLALv2i32_v2i64
17012
414k
    120464544U, // UMLALv4i16_indexed
17013
414k
    1189024U, // UMLALv4i16_v4i32
17014
414k
    122299504U, // UMLALv4i32_indexed
17015
414k
    402544U,  // UMLALv4i32_v2i64
17016
414k
    120464504U, // UMLALv8i16_indexed
17017
414k
    533624U,  // UMLALv8i16_v4i32
17018
414k
    1320104U, // UMLALv8i8_v8i16
17019
414k
    53217368U,  // UMLSLB_ZZZI_D
17020
414k
    53222488U,  // UMLSLB_ZZZI_S
17021
414k
    2136U,  // UMLSLB_ZZZ_D
17022
414k
    8U, // UMLSLB_ZZZ_H
17023
414k
    7256U,  // UMLSLB_ZZZ_S
17024
414k
    38441U, // UMLSLL_MZZI_BtoS
17025
414k
    38145U, // UMLSLL_MZZI_HtoD
17026
414k
    553U, // UMLSLL_MZZ_BtoS
17027
414k
    257U, // UMLSLL_MZZ_HtoD
17028
414k
    47640U, // UMLSLL_VG2_M2Z2Z_BtoS
17029
414k
    2632936U, // UMLSLL_VG2_M2Z2Z_HtoD
17030
414k
    5029400U, // UMLSLL_VG2_M2ZZI_BtoS
17031
414k
    103427304U, // UMLSLL_VG2_M2ZZI_HtoD
17032
414k
    48666U, // UMLSLL_VG2_M2ZZ_BtoS
17033
414k
    53095658U,  // UMLSLL_VG2_M2ZZ_HtoD
17034
414k
    47640U, // UMLSLL_VG4_M4Z4Z_BtoS
17035
414k
    2632936U, // UMLSLL_VG4_M4Z4Z_HtoD
17036
414k
    5029400U, // UMLSLL_VG4_M4ZZI_BtoS
17037
414k
    103427304U, // UMLSLL_VG4_M4ZZI_HtoD
17038
414k
    48667U, // UMLSLL_VG4_M4ZZ_BtoS
17039
414k
    53095659U,  // UMLSLL_VG4_M4ZZ_HtoD
17040
414k
    53217368U,  // UMLSLT_ZZZI_D
17041
414k
    53222488U,  // UMLSLT_ZZZI_S
17042
414k
    2136U,  // UMLSLT_ZZZ_D
17043
414k
    8U, // UMLSLT_ZZZ_H
17044
414k
    7256U,  // UMLSLT_ZZZ_S
17045
414k
    38145U, // UMLSL_MZZI_HtoS
17046
414k
    257U, // UMLSL_MZZ_HtoS
17047
414k
    2632936U, // UMLSL_VG2_M2Z2Z_HtoS
17048
414k
    103427304U, // UMLSL_VG2_M2ZZI_S
17049
414k
    53095656U,  // UMLSL_VG2_M2ZZ_HtoS
17050
414k
    2632936U, // UMLSL_VG4_M4Z4Z_HtoS
17051
414k
    103427304U, // UMLSL_VG4_M4ZZI_HtoS
17052
414k
    53095656U,  // UMLSL_VG4_M4ZZ_HtoS
17053
414k
    926864U,  // UMLSLv16i8_v8i16
17054
414k
    122299544U, // UMLSLv2i32_indexed
17055
414k
    1057944U, // UMLSLv2i32_v2i64
17056
414k
    120464544U, // UMLSLv4i16_indexed
17057
414k
    1189024U, // UMLSLv4i16_v4i32
17058
414k
    122299504U, // UMLSLv4i32_indexed
17059
414k
    402544U,  // UMLSLv4i32_v2i64
17060
414k
    120464504U, // UMLSLv8i16_indexed
17061
414k
    533624U,  // UMLSLv8i16_v4i32
17062
414k
    1320104U, // UMLSLv8i8_v8i16
17063
414k
    926864U,  // UMMLA
17064
414k
    9U, // UMMLA_ZZZ
17065
414k
    0U, // UMOPA_MPPZZ_D
17066
414k
    0U, // UMOPA_MPPZZ_HtoS
17067
414k
    0U, // UMOPA_MPPZZ_S
17068
414k
    0U, // UMOPS_MPPZZ_D
17069
414k
    0U, // UMOPS_MPPZZ_HtoS
17070
414k
    0U, // UMOPS_MPPZZ_S
17071
414k
    45456U, // UMOVvi16
17072
414k
    45456U, // UMOVvi16_idx0
17073
414k
    45464U, // UMOVvi32
17074
414k
    45464U, // UMOVvi32_idx0
17075
414k
    45472U, // UMOVvi64
17076
414k
    45472U, // UMOVvi64_idx0
17077
414k
    45480U, // UMOVvi8
17078
414k
    45480U, // UMOVvi8_idx0
17079
414k
    134232U,  // UMSUBLrrr
17080
414k
    16918656U,  // UMULH_ZPmZ_B
17081
414k
    33691776U,  // UMULH_ZPmZ_D
17082
414k
    51129480U,  // UMULH_ZPmZ_H
17083
414k
    67252352U,  // UMULH_ZPmZ_S
17084
414k
    10329U, // UMULH_ZZZ_B
17085
414k
    6232U,  // UMULH_ZZZ_D
17086
414k
    136U, // UMULH_ZZZ_H
17087
414k
    12377U, // UMULH_ZZZ_S
17088
414k
    3160U,  // UMULHrr
17089
414k
    5910617U, // UMULLB_ZZZI_D
17090
414k
    5903448U, // UMULLB_ZZZI_S
17091
414k
    12377U, // UMULLB_ZZZ_D
17092
414k
    176U, // UMULLB_ZZZ_H
17093
414k
    5208U,  // UMULLB_ZZZ_S
17094
414k
    5910617U, // UMULLT_ZZZI_D
17095
414k
    5903448U, // UMULLT_ZZZI_S
17096
414k
    12377U, // UMULLT_ZZZ_D
17097
414k
    176U, // UMULLT_ZZZ_H
17098
414k
    5208U,  // UMULLT_ZZZ_S
17099
414k
    925840U,  // UMULLv16i8_v8i16
17100
414k
    340402328U, // UMULLv2i32_indexed
17101
414k
    1056920U, // UMULLv2i32_v2i64
17102
414k
    338567328U, // UMULLv4i16_indexed
17103
414k
    1188000U, // UMULLv4i16_v4i32
17104
414k
    340402288U, // UMULLv4i32_indexed
17105
414k
    401520U,  // UMULLv4i32_v2i64
17106
414k
    338567288U, // UMULLv8i16_indexed
17107
414k
    532600U,  // UMULLv8i16_v4i32
17108
414k
    1319080U, // UMULLv8i8_v8i16
17109
414k
    16473U, // UQADD_ZI_B
17110
414k
    17496U, // UQADD_ZI_D
17111
414k
    208U, // UQADD_ZI_H
17112
414k
    18521U, // UQADD_ZI_S
17113
414k
    16918656U,  // UQADD_ZPmZ_B
17114
414k
    33691776U,  // UQADD_ZPmZ_D
17115
414k
    51129480U,  // UQADD_ZPmZ_H
17116
414k
    67252352U,  // UQADD_ZPmZ_S
17117
414k
    10329U, // UQADD_ZZZ_B
17118
414k
    6232U,  // UQADD_ZZZ_D
17119
414k
    136U, // UQADD_ZZZ_H
17120
414k
    12377U, // UQADD_ZZZ_S
17121
414k
    925840U,  // UQADDv16i8
17122
414k
    3160U,  // UQADDv1i16
17123
414k
    3160U,  // UQADDv1i32
17124
414k
    3160U,  // UQADDv1i64
17125
414k
    3160U,  // UQADDv1i8
17126
414k
    1056920U, // UQADDv2i32
17127
414k
    270440U,  // UQADDv2i64
17128
414k
    1188000U, // UQADDv4i16
17129
414k
    401520U,  // UQADDv4i32
17130
414k
    532600U,  // UQADDv8i16
17131
414k
    1319080U, // UQADDv8i8
17132
414k
    0U, // UQCVTN_Z2Z_StoH
17133
414k
    0U, // UQCVTN_Z4Z_DtoH
17134
414k
    2U, // UQCVTN_Z4Z_StoB
17135
414k
    0U, // UQCVT_Z2Z_StoH
17136
414k
    0U, // UQCVT_Z4Z_DtoH
17137
414k
    2U, // UQCVT_Z4Z_StoB
17138
414k
    2U, // UQDECB_WPiI
17139
414k
    2U, // UQDECB_XPiI
17140
414k
    2U, // UQDECD_WPiI
17141
414k
    2U, // UQDECD_XPiI
17142
414k
    2U, // UQDECD_ZPiI
17143
414k
    2U, // UQDECH_WPiI
17144
414k
    2U, // UQDECH_XPiI
17145
414k
    0U, // UQDECH_ZPiI
17146
414k
    1U, // UQDECP_WP_B
17147
414k
    0U, // UQDECP_WP_D
17148
414k
    0U, // UQDECP_WP_H
17149
414k
    1U, // UQDECP_WP_S
17150
414k
    1U, // UQDECP_XP_B
17151
414k
    0U, // UQDECP_XP_D
17152
414k
    0U, // UQDECP_XP_H
17153
414k
    1U, // UQDECP_XP_S
17154
414k
    0U, // UQDECP_ZP_D
17155
414k
    0U, // UQDECP_ZP_H
17156
414k
    0U, // UQDECP_ZP_S
17157
414k
    2U, // UQDECW_WPiI
17158
414k
    2U, // UQDECW_XPiI
17159
414k
    2U, // UQDECW_ZPiI
17160
414k
    2U, // UQINCB_WPiI
17161
414k
    2U, // UQINCB_XPiI
17162
414k
    2U, // UQINCD_WPiI
17163
414k
    2U, // UQINCD_XPiI
17164
414k
    2U, // UQINCD_ZPiI
17165
414k
    2U, // UQINCH_WPiI
17166
414k
    2U, // UQINCH_XPiI
17167
414k
    0U, // UQINCH_ZPiI
17168
414k
    1U, // UQINCP_WP_B
17169
414k
    0U, // UQINCP_WP_D
17170
414k
    0U, // UQINCP_WP_H
17171
414k
    1U, // UQINCP_WP_S
17172
414k
    1U, // UQINCP_XP_B
17173
414k
    0U, // UQINCP_XP_D
17174
414k
    0U, // UQINCP_XP_H
17175
414k
    1U, // UQINCP_XP_S
17176
414k
    0U, // UQINCP_ZP_D
17177
414k
    0U, // UQINCP_ZP_H
17178
414k
    0U, // UQINCP_ZP_S
17179
414k
    2U, // UQINCW_WPiI
17180
414k
    2U, // UQINCW_XPiI
17181
414k
    2U, // UQINCW_ZPiI
17182
414k
    16918656U,  // UQRSHLR_ZPmZ_B
17183
414k
    33691776U,  // UQRSHLR_ZPmZ_D
17184
414k
    51129480U,  // UQRSHLR_ZPmZ_H
17185
414k
    67252352U,  // UQRSHLR_ZPmZ_S
17186
414k
    16918656U,  // UQRSHL_ZPmZ_B
17187
414k
    33691776U,  // UQRSHL_ZPmZ_D
17188
414k
    51129480U,  // UQRSHL_ZPmZ_H
17189
414k
    67252352U,  // UQRSHL_ZPmZ_S
17190
414k
    925840U,  // UQRSHLv16i8
17191
414k
    3160U,  // UQRSHLv1i16
17192
414k
    3160U,  // UQRSHLv1i32
17193
414k
    3160U,  // UQRSHLv1i64
17194
414k
    3160U,  // UQRSHLv1i8
17195
414k
    1056920U, // UQRSHLv2i32
17196
414k
    270440U,  // UQRSHLv2i64
17197
414k
    1188000U, // UQRSHLv4i16
17198
414k
    401520U,  // UQRSHLv4i32
17199
414k
    532600U,  // UQRSHLv8i16
17200
414k
    1319080U, // UQRSHLv8i8
17201
414k
    3160U,  // UQRSHRNB_ZZI_B
17202
414k
    224U, // UQRSHRNB_ZZI_H
17203
414k
    3160U,  // UQRSHRNB_ZZI_S
17204
414k
    41048U, // UQRSHRNT_ZZI_B
17205
414k
    376U, // UQRSHRNT_ZZI_H
17206
414k
    41048U, // UQRSHRNT_ZZI_S
17207
414k
    3162U,  // UQRSHRN_VG4_Z4ZI_B
17208
414k
    224U, // UQRSHRN_VG4_Z4ZI_H
17209
414k
    224U, // UQRSHRN_Z2ZI_StoH
17210
414k
    3160U,  // UQRSHRNb
17211
414k
    3160U,  // UQRSHRNh
17212
414k
    3160U,  // UQRSHRNs
17213
414k
    41080U, // UQRSHRNv16i8_shift
17214
414k
    3176U,  // UQRSHRNv2i32_shift
17215
414k
    3184U,  // UQRSHRNv4i16_shift
17216
414k
    41064U, // UQRSHRNv4i32_shift
17217
414k
    41072U, // UQRSHRNv8i16_shift
17218
414k
    3192U,  // UQRSHRNv8i8_shift
17219
414k
    224U, // UQRSHR_VG2_Z2ZI_H
17220
414k
    3162U,  // UQRSHR_VG4_Z4ZI_B
17221
414k
    224U, // UQRSHR_VG4_Z4ZI_H
17222
414k
    16918656U,  // UQSHLR_ZPmZ_B
17223
414k
    33691776U,  // UQSHLR_ZPmZ_D
17224
414k
    51129480U,  // UQSHLR_ZPmZ_H
17225
414k
    67252352U,  // UQSHLR_ZPmZ_S
17226
414k
    141440U,  // UQSHL_ZPmI_B
17227
414k
    137344U,  // UQSHL_ZPmI_D
17228
414k
    52440200U,  // UQSHL_ZPmI_H
17229
414k
    143488U,  // UQSHL_ZPmI_S
17230
414k
    16918656U,  // UQSHL_ZPmZ_B
17231
414k
    33691776U,  // UQSHL_ZPmZ_D
17232
414k
    51129480U,  // UQSHL_ZPmZ_H
17233
414k
    67252352U,  // UQSHL_ZPmZ_S
17234
414k
    3160U,  // UQSHLb
17235
414k
    3160U,  // UQSHLd
17236
414k
    3160U,  // UQSHLh
17237
414k
    3160U,  // UQSHLs
17238
414k
    925840U,  // UQSHLv16i8
17239
414k
    3216U,  // UQSHLv16i8_shift
17240
414k
    3160U,  // UQSHLv1i16
17241
414k
    3160U,  // UQSHLv1i32
17242
414k
    3160U,  // UQSHLv1i64
17243
414k
    3160U,  // UQSHLv1i8
17244
414k
    1056920U, // UQSHLv2i32
17245
414k
    3224U,  // UQSHLv2i32_shift
17246
414k
    270440U,  // UQSHLv2i64
17247
414k
    3176U,  // UQSHLv2i64_shift
17248
414k
    1188000U, // UQSHLv4i16
17249
414k
    3232U,  // UQSHLv4i16_shift
17250
414k
    401520U,  // UQSHLv4i32
17251
414k
    3184U,  // UQSHLv4i32_shift
17252
414k
    532600U,  // UQSHLv8i16
17253
414k
    3192U,  // UQSHLv8i16_shift
17254
414k
    1319080U, // UQSHLv8i8
17255
414k
    3240U,  // UQSHLv8i8_shift
17256
414k
    3160U,  // UQSHRNB_ZZI_B
17257
414k
    224U, // UQSHRNB_ZZI_H
17258
414k
    3160U,  // UQSHRNB_ZZI_S
17259
414k
    41048U, // UQSHRNT_ZZI_B
17260
414k
    376U, // UQSHRNT_ZZI_H
17261
414k
    41048U, // UQSHRNT_ZZI_S
17262
414k
    3160U,  // UQSHRNb
17263
414k
    3160U,  // UQSHRNh
17264
414k
    3160U,  // UQSHRNs
17265
414k
    41080U, // UQSHRNv16i8_shift
17266
414k
    3176U,  // UQSHRNv2i32_shift
17267
414k
    3184U,  // UQSHRNv4i16_shift
17268
414k
    41064U, // UQSHRNv4i32_shift
17269
414k
    41072U, // UQSHRNv8i16_shift
17270
414k
    3192U,  // UQSHRNv8i8_shift
17271
414k
    16918656U,  // UQSUBR_ZPmZ_B
17272
414k
    33691776U,  // UQSUBR_ZPmZ_D
17273
414k
    51129480U,  // UQSUBR_ZPmZ_H
17274
414k
    67252352U,  // UQSUBR_ZPmZ_S
17275
414k
    16473U, // UQSUB_ZI_B
17276
414k
    17496U, // UQSUB_ZI_D
17277
414k
    208U, // UQSUB_ZI_H
17278
414k
    18521U, // UQSUB_ZI_S
17279
414k
    16918656U,  // UQSUB_ZPmZ_B
17280
414k
    33691776U,  // UQSUB_ZPmZ_D
17281
414k
    51129480U,  // UQSUB_ZPmZ_H
17282
414k
    67252352U,  // UQSUB_ZPmZ_S
17283
414k
    10329U, // UQSUB_ZZZ_B
17284
414k
    6232U,  // UQSUB_ZZZ_D
17285
414k
    136U, // UQSUB_ZZZ_H
17286
414k
    12377U, // UQSUB_ZZZ_S
17287
414k
    925840U,  // UQSUBv16i8
17288
414k
    3160U,  // UQSUBv1i16
17289
414k
    3160U,  // UQSUBv1i32
17290
414k
    3160U,  // UQSUBv1i64
17291
414k
    3160U,  // UQSUBv1i8
17292
414k
    1056920U, // UQSUBv2i32
17293
414k
    270440U,  // UQSUBv2i64
17294
414k
    1188000U, // UQSUBv4i16
17295
414k
    401520U,  // UQSUBv4i32
17296
414k
    532600U,  // UQSUBv8i16
17297
414k
    1319080U, // UQSUBv8i8
17298
414k
    0U, // UQXTNB_ZZ_B
17299
414k
    0U, // UQXTNB_ZZ_H
17300
414k
    0U, // UQXTNB_ZZ_S
17301
414k
    0U, // UQXTNT_ZZ_B
17302
414k
    0U, // UQXTNT_ZZ_H
17303
414k
    0U, // UQXTNT_ZZ_S
17304
414k
    72U,  // UQXTNv16i8
17305
414k
    0U, // UQXTNv1i16
17306
414k
    0U, // UQXTNv1i32
17307
414k
    0U, // UQXTNv1i8
17308
414k
    48U,  // UQXTNv2i32
17309
414k
    64U,  // UQXTNv4i16
17310
414k
    48U,  // UQXTNv4i32
17311
414k
    64U,  // UQXTNv8i16
17312
414k
    72U,  // UQXTNv8i8
17313
414k
    24U,  // URECPE_ZPmZ_S
17314
414k
    40U,  // URECPEv2i32
17315
414k
    64U,  // URECPEv4i32
17316
414k
    16918656U,  // URHADD_ZPmZ_B
17317
414k
    33691776U,  // URHADD_ZPmZ_D
17318
414k
    51129480U,  // URHADD_ZPmZ_H
17319
414k
    67252352U,  // URHADD_ZPmZ_S
17320
414k
    925840U,  // URHADDv16i8
17321
414k
    1056920U, // URHADDv2i32
17322
414k
    1188000U, // URHADDv4i16
17323
414k
    401520U,  // URHADDv4i32
17324
414k
    532600U,  // URHADDv8i16
17325
414k
    1319080U, // URHADDv8i8
17326
414k
    16918656U,  // URSHLR_ZPmZ_B
17327
414k
    33691776U,  // URSHLR_ZPmZ_D
17328
414k
    51129480U,  // URSHLR_ZPmZ_H
17329
414k
    67252352U,  // URSHLR_ZPmZ_S
17330
414k
    920U, // URSHL_VG2_2Z2Z_B
17331
414k
    464U, // URSHL_VG2_2Z2Z_D
17332
414k
    248U, // URSHL_VG2_2Z2Z_H
17333
414k
    472U, // URSHL_VG2_2Z2Z_S
17334
414k
    176U, // URSHL_VG2_2ZZ_B
17335
414k
    184U, // URSHL_VG2_2ZZ_D
17336
414k
    136U, // URSHL_VG2_2ZZ_H
17337
414k
    96U,  // URSHL_VG2_2ZZ_S
17338
414k
    920U, // URSHL_VG4_4Z4Z_B
17339
414k
    464U, // URSHL_VG4_4Z4Z_D
17340
414k
    248U, // URSHL_VG4_4Z4Z_H
17341
414k
    472U, // URSHL_VG4_4Z4Z_S
17342
414k
    176U, // URSHL_VG4_4ZZ_B
17343
414k
    184U, // URSHL_VG4_4ZZ_D
17344
414k
    136U, // URSHL_VG4_4ZZ_H
17345
414k
    96U,  // URSHL_VG4_4ZZ_S
17346
414k
    16918656U,  // URSHL_ZPmZ_B
17347
414k
    33691776U,  // URSHL_ZPmZ_D
17348
414k
    51129480U,  // URSHL_ZPmZ_H
17349
414k
    67252352U,  // URSHL_ZPmZ_S
17350
414k
    925840U,  // URSHLv16i8
17351
414k
    3160U,  // URSHLv1i64
17352
414k
    1056920U, // URSHLv2i32
17353
414k
    270440U,  // URSHLv2i64
17354
414k
    1188000U, // URSHLv4i16
17355
414k
    401520U,  // URSHLv4i32
17356
414k
    532600U,  // URSHLv8i16
17357
414k
    1319080U, // URSHLv8i8
17358
414k
    141440U,  // URSHR_ZPmI_B
17359
414k
    137344U,  // URSHR_ZPmI_D
17360
414k
    52440200U,  // URSHR_ZPmI_H
17361
414k
    143488U,  // URSHR_ZPmI_S
17362
414k
    3160U,  // URSHRd
17363
414k
    3216U,  // URSHRv16i8_shift
17364
414k
    3224U,  // URSHRv2i32_shift
17365
414k
    3176U,  // URSHRv2i64_shift
17366
414k
    3232U,  // URSHRv4i16_shift
17367
414k
    3184U,  // URSHRv4i32_shift
17368
414k
    3192U,  // URSHRv8i16_shift
17369
414k
    3240U,  // URSHRv8i8_shift
17370
414k
    24U,  // URSQRTE_ZPmZ_S
17371
414k
    40U,  // URSQRTEv2i32
17372
414k
    64U,  // URSQRTEv4i32
17373
414k
    377U, // URSRA_ZZI_B
17374
414k
    41048U, // URSRA_ZZI_D
17375
414k
    376U, // URSRA_ZZI_H
17376
414k
    41048U, // URSRA_ZZI_S
17377
414k
    41049U, // URSRAd
17378
414k
    41104U, // URSRAv16i8_shift
17379
414k
    41112U, // URSRAv2i32_shift
17380
414k
    41064U, // URSRAv2i64_shift
17381
414k
    41120U, // URSRAv4i16_shift
17382
414k
    41072U, // URSRAv4i32_shift
17383
414k
    41080U, // URSRAv8i16_shift
17384
414k
    41128U, // URSRAv8i8_shift
17385
414k
    47640U, // USDOT_VG2_M2Z2Z_BToS
17386
414k
    5029400U, // USDOT_VG2_M2ZZI_BToS
17387
414k
    48664U, // USDOT_VG2_M2ZZ_BToS
17388
414k
    47640U, // USDOT_VG4_M4Z4Z_BToS
17389
414k
    5029400U, // USDOT_VG4_M4ZZI_BToS
17390
414k
    48664U, // USDOT_VG4_M4ZZ_BToS
17391
414k
    9U, // USDOT_ZZZ
17392
414k
    38921U, // USDOT_ZZZI
17393
414k
    5121168U, // USDOTlanev16i8
17394
414k
    5121192U, // USDOTlanev8i8
17395
414k
    926864U,  // USDOTv16i8
17396
414k
    1320104U, // USDOTv8i8
17397
414k
    3161U,  // USHLLB_ZZI_D
17398
414k
    224U, // USHLLB_ZZI_H
17399
414k
    3160U,  // USHLLB_ZZI_S
17400
414k
    3161U,  // USHLLT_ZZI_D
17401
414k
    224U, // USHLLT_ZZI_H
17402
414k
    3160U,  // USHLLT_ZZI_S
17403
414k
    3216U,  // USHLLv16i8_shift
17404
414k
    3224U,  // USHLLv2i32_shift
17405
414k
    3232U,  // USHLLv4i16_shift
17406
414k
    3184U,  // USHLLv4i32_shift
17407
414k
    3192U,  // USHLLv8i16_shift
17408
414k
    3240U,  // USHLLv8i8_shift
17409
414k
    925840U,  // USHLv16i8
17410
414k
    3160U,  // USHLv1i64
17411
414k
    1056920U, // USHLv2i32
17412
414k
    270440U,  // USHLv2i64
17413
414k
    1188000U, // USHLv4i16
17414
414k
    401520U,  // USHLv4i32
17415
414k
    532600U,  // USHLv8i16
17416
414k
    1319080U, // USHLv8i8
17417
414k
    3160U,  // USHRd
17418
414k
    3216U,  // USHRv16i8_shift
17419
414k
    3224U,  // USHRv2i32_shift
17420
414k
    3176U,  // USHRv2i64_shift
17421
414k
    3232U,  // USHRv4i16_shift
17422
414k
    3184U,  // USHRv4i32_shift
17423
414k
    3192U,  // USHRv8i16_shift
17424
414k
    3240U,  // USHRv8i8_shift
17425
414k
    38441U, // USMLALL_MZZI_BtoS
17426
414k
    553U, // USMLALL_MZZ_BtoS
17427
414k
    47640U, // USMLALL_VG2_M2Z2Z_BtoS
17428
414k
    5029400U, // USMLALL_VG2_M2ZZI_BtoS
17429
414k
    48666U, // USMLALL_VG2_M2ZZ_BtoS
17430
414k
    47640U, // USMLALL_VG4_M4Z4Z_BtoS
17431
414k
    5029400U, // USMLALL_VG4_M4ZZI_BtoS
17432
414k
    48667U, // USMLALL_VG4_M4ZZ_BtoS
17433
414k
    926864U,  // USMMLA
17434
414k
    9U, // USMMLA_ZZZ
17435
414k
    0U, // USMOPA_MPPZZ_D
17436
414k
    0U, // USMOPA_MPPZZ_S
17437
414k
    0U, // USMOPS_MPPZZ_D
17438
414k
    0U, // USMOPS_MPPZZ_S
17439
414k
    16918656U,  // USQADD_ZPmZ_B
17440
414k
    33691776U,  // USQADD_ZPmZ_D
17441
414k
    51129480U,  // USQADD_ZPmZ_H
17442
414k
    67252352U,  // USQADD_ZPmZ_S
17443
414k
    32U,  // USQADDv16i8
17444
414k
    1U, // USQADDv1i16
17445
414k
    1U, // USQADDv1i32
17446
414k
    1U, // USQADDv1i64
17447
414k
    1U, // USQADDv1i8
17448
414k
    40U,  // USQADDv2i32
17449
414k
    48U,  // USQADDv2i64
17450
414k
    56U,  // USQADDv4i16
17451
414k
    64U,  // USQADDv4i32
17452
414k
    72U,  // USQADDv8i16
17453
414k
    80U,  // USQADDv8i8
17454
414k
    377U, // USRA_ZZI_B
17455
414k
    41048U, // USRA_ZZI_D
17456
414k
    376U, // USRA_ZZI_H
17457
414k
    41048U, // USRA_ZZI_S
17458
414k
    41049U, // USRAd
17459
414k
    41104U, // USRAv16i8_shift
17460
414k
    41112U, // USRAv2i32_shift
17461
414k
    41064U, // USRAv2i64_shift
17462
414k
    41120U, // USRAv4i16_shift
17463
414k
    41072U, // USRAv4i32_shift
17464
414k
    41080U, // USRAv8i16_shift
17465
414k
    41128U, // USRAv8i8_shift
17466
414k
    12377U, // USUBLB_ZZZ_D
17467
414k
    176U, // USUBLB_ZZZ_H
17468
414k
    5208U,  // USUBLB_ZZZ_S
17469
414k
    12377U, // USUBLT_ZZZ_D
17470
414k
    176U, // USUBLT_ZZZ_H
17471
414k
    5208U,  // USUBLT_ZZZ_S
17472
414k
    925840U,  // USUBLv16i8_v8i16
17473
414k
    1056920U, // USUBLv2i32_v2i64
17474
414k
    1188000U, // USUBLv4i16_v4i32
17475
414k
    401520U,  // USUBLv4i32_v2i64
17476
414k
    532600U,  // USUBLv8i16_v4i32
17477
414k
    1319080U, // USUBLv8i8_v8i16
17478
414k
    12376U, // USUBWB_ZZZ_D
17479
414k
    176U, // USUBWB_ZZZ_H
17480
414k
    5209U,  // USUBWB_ZZZ_S
17481
414k
    12376U, // USUBWT_ZZZ_D
17482
414k
    176U, // USUBWT_ZZZ_H
17483
414k
    5209U,  // USUBWT_ZZZ_S
17484
414k
    925816U,  // USUBWv16i8_v8i16
17485
414k
    1056872U, // USUBWv2i32_v2i64
17486
414k
    1187952U, // USUBWv4i16_v4i32
17487
414k
    401512U,  // USUBWv4i32_v2i64
17488
414k
    532592U,  // USUBWv8i16_v4i32
17489
414k
    1319032U, // USUBWv8i8_v8i16
17490
414k
    5029400U, // USVDOT_VG4_M4ZZI_BToS
17491
414k
    1U, // UUNPKHI_ZZ_D
17492
414k
    0U, // UUNPKHI_ZZ_H
17493
414k
    0U, // UUNPKHI_ZZ_S
17494
414k
    1U, // UUNPKLO_ZZ_D
17495
414k
    0U, // UUNPKLO_ZZ_H
17496
414k
    0U, // UUNPKLO_ZZ_S
17497
414k
    0U, // UUNPK_VG2_2ZZ_D
17498
414k
    0U, // UUNPK_VG2_2ZZ_H
17499
414k
    0U, // UUNPK_VG2_2ZZ_S
17500
414k
    0U, // UUNPK_VG4_4Z2Z_D
17501
414k
    0U, // UUNPK_VG4_4Z2Z_H
17502
414k
    0U, // UUNPK_VG4_4Z2Z_S
17503
414k
    103427304U, // UVDOT_VG2_M2ZZI_HtoS
17504
414k
    5029400U, // UVDOT_VG4_M4ZZI_BtoS
17505
414k
    103427304U, // UVDOT_VG4_M4ZZI_HtoD
17506
414k
    16U,  // UXTB_ZPmZ_D
17507
414k
    0U, // UXTB_ZPmZ_H
17508
414k
    24U,  // UXTB_ZPmZ_S
17509
414k
    16U,  // UXTH_ZPmZ_D
17510
414k
    24U,  // UXTH_ZPmZ_S
17511
414k
    16U,  // UXTW_ZPmZ_D
17512
414k
    10329U, // UZP1_PPP_B
17513
414k
    6232U,  // UZP1_PPP_D
17514
414k
    136U, // UZP1_PPP_H
17515
414k
    12377U, // UZP1_PPP_S
17516
414k
    10329U, // UZP1_ZZZ_B
17517
414k
    6232U,  // UZP1_ZZZ_D
17518
414k
    136U, // UZP1_ZZZ_H
17519
414k
    1016U,  // UZP1_ZZZ_Q
17520
414k
    12377U, // UZP1_ZZZ_S
17521
414k
    925840U,  // UZP1v16i8
17522
414k
    1056920U, // UZP1v2i32
17523
414k
    270440U,  // UZP1v2i64
17524
414k
    1188000U, // UZP1v4i16
17525
414k
    401520U,  // UZP1v4i32
17526
414k
    532600U,  // UZP1v8i16
17527
414k
    1319080U, // UZP1v8i8
17528
414k
    10329U, // UZP2_PPP_B
17529
414k
    6232U,  // UZP2_PPP_D
17530
414k
    136U, // UZP2_PPP_H
17531
414k
    12377U, // UZP2_PPP_S
17532
414k
    10329U, // UZP2_ZZZ_B
17533
414k
    6232U,  // UZP2_ZZZ_D
17534
414k
    136U, // UZP2_ZZZ_H
17535
414k
    1016U,  // UZP2_ZZZ_Q
17536
414k
    12377U, // UZP2_ZZZ_S
17537
414k
    925840U,  // UZP2v16i8
17538
414k
    1056920U, // UZP2v2i32
17539
414k
    270440U,  // UZP2v2i64
17540
414k
    1188000U, // UZP2v4i16
17541
414k
    401520U,  // UZP2v4i32
17542
414k
    532600U,  // UZP2v8i16
17543
414k
    1319080U, // UZP2v8i8
17544
414k
    10329U, // UZPQ1_ZZZ_B
17545
414k
    6232U,  // UZPQ1_ZZZ_D
17546
414k
    136U, // UZPQ1_ZZZ_H
17547
414k
    12377U, // UZPQ1_ZZZ_S
17548
414k
    10329U, // UZPQ2_ZZZ_B
17549
414k
    6232U,  // UZPQ2_ZZZ_D
17550
414k
    136U, // UZPQ2_ZZZ_H
17551
414k
    12377U, // UZPQ2_ZZZ_S
17552
414k
    176U, // UZP_VG2_2ZZZ_B
17553
414k
    0U, // UZP_VG2_2ZZZ_D
17554
414k
    136U, // UZP_VG2_2ZZZ_H
17555
414k
    1016U,  // UZP_VG2_2ZZZ_Q
17556
414k
    96U,  // UZP_VG2_2ZZZ_S
17557
414k
    0U, // UZP_VG4_4Z4Z_B
17558
414k
    0U, // UZP_VG4_4Z4Z_D
17559
414k
    0U, // UZP_VG4_4Z4Z_H
17560
414k
    0U, // UZP_VG4_4Z4Z_Q
17561
414k
    0U, // UZP_VG4_4Z4Z_S
17562
414k
    0U, // WFET
17563
414k
    0U, // WFIT
17564
414k
    224U, // WHILEGE_2PXX_B
17565
414k
    224U, // WHILEGE_2PXX_D
17566
414k
    224U, // WHILEGE_2PXX_H
17567
414k
    224U, // WHILEGE_2PXX_S
17568
414k
    721554520U, // WHILEGE_CXX_B
17569
414k
    721554520U, // WHILEGE_CXX_D
17570
414k
    721554520U, // WHILEGE_CXX_H
17571
414k
    721554520U, // WHILEGE_CXX_S
17572
414k
    3160U,  // WHILEGE_PWW_B
17573
414k
    3160U,  // WHILEGE_PWW_D
17574
414k
    224U, // WHILEGE_PWW_H
17575
414k
    3160U,  // WHILEGE_PWW_S
17576
414k
    3160U,  // WHILEGE_PXX_B
17577
414k
    3160U,  // WHILEGE_PXX_D
17578
414k
    224U, // WHILEGE_PXX_H
17579
414k
    3160U,  // WHILEGE_PXX_S
17580
414k
    224U, // WHILEGT_2PXX_B
17581
414k
    224U, // WHILEGT_2PXX_D
17582
414k
    224U, // WHILEGT_2PXX_H
17583
414k
    224U, // WHILEGT_2PXX_S
17584
414k
    721554520U, // WHILEGT_CXX_B
17585
414k
    721554520U, // WHILEGT_CXX_D
17586
414k
    721554520U, // WHILEGT_CXX_H
17587
414k
    721554520U, // WHILEGT_CXX_S
17588
414k
    3160U,  // WHILEGT_PWW_B
17589
414k
    3160U,  // WHILEGT_PWW_D
17590
414k
    224U, // WHILEGT_PWW_H
17591
414k
    3160U,  // WHILEGT_PWW_S
17592
414k
    3160U,  // WHILEGT_PXX_B
17593
414k
    3160U,  // WHILEGT_PXX_D
17594
414k
    224U, // WHILEGT_PXX_H
17595
414k
    3160U,  // WHILEGT_PXX_S
17596
414k
    224U, // WHILEHI_2PXX_B
17597
414k
    224U, // WHILEHI_2PXX_D
17598
414k
    224U, // WHILEHI_2PXX_H
17599
414k
    224U, // WHILEHI_2PXX_S
17600
414k
    721554520U, // WHILEHI_CXX_B
17601
414k
    721554520U, // WHILEHI_CXX_D
17602
414k
    721554520U, // WHILEHI_CXX_H
17603
414k
    721554520U, // WHILEHI_CXX_S
17604
414k
    3160U,  // WHILEHI_PWW_B
17605
414k
    3160U,  // WHILEHI_PWW_D
17606
414k
    224U, // WHILEHI_PWW_H
17607
414k
    3160U,  // WHILEHI_PWW_S
17608
414k
    3160U,  // WHILEHI_PXX_B
17609
414k
    3160U,  // WHILEHI_PXX_D
17610
414k
    224U, // WHILEHI_PXX_H
17611
414k
    3160U,  // WHILEHI_PXX_S
17612
414k
    224U, // WHILEHS_2PXX_B
17613
414k
    224U, // WHILEHS_2PXX_D
17614
414k
    224U, // WHILEHS_2PXX_H
17615
414k
    224U, // WHILEHS_2PXX_S
17616
414k
    721554520U, // WHILEHS_CXX_B
17617
414k
    721554520U, // WHILEHS_CXX_D
17618
414k
    721554520U, // WHILEHS_CXX_H
17619
414k
    721554520U, // WHILEHS_CXX_S
17620
414k
    3160U,  // WHILEHS_PWW_B
17621
414k
    3160U,  // WHILEHS_PWW_D
17622
414k
    224U, // WHILEHS_PWW_H
17623
414k
    3160U,  // WHILEHS_PWW_S
17624
414k
    3160U,  // WHILEHS_PXX_B
17625
414k
    3160U,  // WHILEHS_PXX_D
17626
414k
    224U, // WHILEHS_PXX_H
17627
414k
    3160U,  // WHILEHS_PXX_S
17628
414k
    224U, // WHILELE_2PXX_B
17629
414k
    224U, // WHILELE_2PXX_D
17630
414k
    224U, // WHILELE_2PXX_H
17631
414k
    224U, // WHILELE_2PXX_S
17632
414k
    721554520U, // WHILELE_CXX_B
17633
414k
    721554520U, // WHILELE_CXX_D
17634
414k
    721554520U, // WHILELE_CXX_H
17635
414k
    721554520U, // WHILELE_CXX_S
17636
414k
    3160U,  // WHILELE_PWW_B
17637
414k
    3160U,  // WHILELE_PWW_D
17638
414k
    224U, // WHILELE_PWW_H
17639
414k
    3160U,  // WHILELE_PWW_S
17640
414k
    3160U,  // WHILELE_PXX_B
17641
414k
    3160U,  // WHILELE_PXX_D
17642
414k
    224U, // WHILELE_PXX_H
17643
414k
    3160U,  // WHILELE_PXX_S
17644
414k
    224U, // WHILELO_2PXX_B
17645
414k
    224U, // WHILELO_2PXX_D
17646
414k
    224U, // WHILELO_2PXX_H
17647
414k
    224U, // WHILELO_2PXX_S
17648
414k
    721554520U, // WHILELO_CXX_B
17649
414k
    721554520U, // WHILELO_CXX_D
17650
414k
    721554520U, // WHILELO_CXX_H
17651
414k
    721554520U, // WHILELO_CXX_S
17652
414k
    3160U,  // WHILELO_PWW_B
17653
414k
    3160U,  // WHILELO_PWW_D
17654
414k
    224U, // WHILELO_PWW_H
17655
414k
    3160U,  // WHILELO_PWW_S
17656
414k
    3160U,  // WHILELO_PXX_B
17657
414k
    3160U,  // WHILELO_PXX_D
17658
414k
    224U, // WHILELO_PXX_H
17659
414k
    3160U,  // WHILELO_PXX_S
17660
414k
    224U, // WHILELS_2PXX_B
17661
414k
    224U, // WHILELS_2PXX_D
17662
414k
    224U, // WHILELS_2PXX_H
17663
414k
    224U, // WHILELS_2PXX_S
17664
414k
    721554520U, // WHILELS_CXX_B
17665
414k
    721554520U, // WHILELS_CXX_D
17666
414k
    721554520U, // WHILELS_CXX_H
17667
414k
    721554520U, // WHILELS_CXX_S
17668
414k
    3160U,  // WHILELS_PWW_B
17669
414k
    3160U,  // WHILELS_PWW_D
17670
414k
    224U, // WHILELS_PWW_H
17671
414k
    3160U,  // WHILELS_PWW_S
17672
414k
    3160U,  // WHILELS_PXX_B
17673
414k
    3160U,  // WHILELS_PXX_D
17674
414k
    224U, // WHILELS_PXX_H
17675
414k
    3160U,  // WHILELS_PXX_S
17676
414k
    224U, // WHILELT_2PXX_B
17677
414k
    224U, // WHILELT_2PXX_D
17678
414k
    224U, // WHILELT_2PXX_H
17679
414k
    224U, // WHILELT_2PXX_S
17680
414k
    721554520U, // WHILELT_CXX_B
17681
414k
    721554520U, // WHILELT_CXX_D
17682
414k
    721554520U, // WHILELT_CXX_H
17683
414k
    721554520U, // WHILELT_CXX_S
17684
414k
    3160U,  // WHILELT_PWW_B
17685
414k
    3160U,  // WHILELT_PWW_D
17686
414k
    224U, // WHILELT_PWW_H
17687
414k
    3160U,  // WHILELT_PWW_S
17688
414k
    3160U,  // WHILELT_PXX_B
17689
414k
    3160U,  // WHILELT_PXX_D
17690
414k
    224U, // WHILELT_PXX_H
17691
414k
    3160U,  // WHILELT_PXX_S
17692
414k
    3160U,  // WHILERW_PXX_B
17693
414k
    3160U,  // WHILERW_PXX_D
17694
414k
    224U, // WHILERW_PXX_H
17695
414k
    3160U,  // WHILERW_PXX_S
17696
414k
    3160U,  // WHILEWR_PXX_B
17697
414k
    3160U,  // WHILEWR_PXX_D
17698
414k
    224U, // WHILEWR_PXX_H
17699
414k
    3160U,  // WHILEWR_PXX_S
17700
414k
    0U, // WRFFR
17701
414k
    0U, // XAFLAG
17702
414k
    4202600U, // XAR
17703
414k
    141401U,  // XAR_ZZZI_B
17704
414k
    137304U,  // XAR_ZZZI_D
17705
414k
    52440200U,  // XAR_ZZZI_H
17706
414k
    143449U,  // XAR_ZZZI_S
17707
414k
    0U, // XPACD
17708
414k
    0U, // XPACI
17709
414k
    0U, // XPACLRI
17710
414k
    72U,  // XTNv16i8
17711
414k
    48U,  // XTNv2i32
17712
414k
    64U,  // XTNv4i16
17713
414k
    48U,  // XTNv4i32
17714
414k
    64U,  // XTNv8i16
17715
414k
    72U,  // XTNv8i8
17716
414k
    0U, // ZERO_M
17717
414k
    5U, // ZERO_MXI_2Z
17718
414k
    5U, // ZERO_MXI_4Z
17719
414k
    3U, // ZERO_MXI_VG2_2Z
17720
414k
    3U, // ZERO_MXI_VG2_4Z
17721
414k
    3U, // ZERO_MXI_VG2_Z
17722
414k
    3U, // ZERO_MXI_VG4_2Z
17723
414k
    3U, // ZERO_MXI_VG4_4Z
17724
414k
    3U, // ZERO_MXI_VG4_Z
17725
414k
    0U, // ZERO_T
17726
414k
    10329U, // ZIP1_PPP_B
17727
414k
    6232U,  // ZIP1_PPP_D
17728
414k
    136U, // ZIP1_PPP_H
17729
414k
    12377U, // ZIP1_PPP_S
17730
414k
    10329U, // ZIP1_ZZZ_B
17731
414k
    6232U,  // ZIP1_ZZZ_D
17732
414k
    136U, // ZIP1_ZZZ_H
17733
414k
    1016U,  // ZIP1_ZZZ_Q
17734
414k
    12377U, // ZIP1_ZZZ_S
17735
414k
    925840U,  // ZIP1v16i8
17736
414k
    1056920U, // ZIP1v2i32
17737
414k
    270440U,  // ZIP1v2i64
17738
414k
    1188000U, // ZIP1v4i16
17739
414k
    401520U,  // ZIP1v4i32
17740
414k
    532600U,  // ZIP1v8i16
17741
414k
    1319080U, // ZIP1v8i8
17742
414k
    10329U, // ZIP2_PPP_B
17743
414k
    6232U,  // ZIP2_PPP_D
17744
414k
    136U, // ZIP2_PPP_H
17745
414k
    12377U, // ZIP2_PPP_S
17746
414k
    10329U, // ZIP2_ZZZ_B
17747
414k
    6232U,  // ZIP2_ZZZ_D
17748
414k
    136U, // ZIP2_ZZZ_H
17749
414k
    1016U,  // ZIP2_ZZZ_Q
17750
414k
    12377U, // ZIP2_ZZZ_S
17751
414k
    925840U,  // ZIP2v16i8
17752
414k
    1056920U, // ZIP2v2i32
17753
414k
    270440U,  // ZIP2v2i64
17754
414k
    1188000U, // ZIP2v4i16
17755
414k
    401520U,  // ZIP2v4i32
17756
414k
    532600U,  // ZIP2v8i16
17757
414k
    1319080U, // ZIP2v8i8
17758
414k
    10329U, // ZIPQ1_ZZZ_B
17759
414k
    6232U,  // ZIPQ1_ZZZ_D
17760
414k
    136U, // ZIPQ1_ZZZ_H
17761
414k
    12377U, // ZIPQ1_ZZZ_S
17762
414k
    10329U, // ZIPQ2_ZZZ_B
17763
414k
    6232U,  // ZIPQ2_ZZZ_D
17764
414k
    136U, // ZIPQ2_ZZZ_H
17765
414k
    12377U, // ZIPQ2_ZZZ_S
17766
414k
    176U, // ZIP_VG2_2ZZZ_B
17767
414k
    0U, // ZIP_VG2_2ZZZ_D
17768
414k
    136U, // ZIP_VG2_2ZZZ_H
17769
414k
    1016U,  // ZIP_VG2_2ZZZ_Q
17770
414k
    96U,  // ZIP_VG2_2ZZZ_S
17771
414k
    0U, // ZIP_VG4_4Z4Z_B
17772
414k
    0U, // ZIP_VG4_4Z4Z_D
17773
414k
    0U, // ZIP_VG4_4Z4Z_H
17774
414k
    0U, // ZIP_VG4_4Z4Z_Q
17775
414k
    0U, // ZIP_VG4_4Z4Z_S
17776
414k
  };
17777
17778
  // Emit the opcode for the instruction.
17779
414k
  uint64_t Bits = 0;
17780
414k
  Bits |= (uint64_t)OpInfo0[MCInst_getOpcode(MI)] << 0;
17781
414k
  Bits |= (uint64_t)OpInfo1[MCInst_getOpcode(MI)] << 32;
17782
414k
  MnemonicBitsInfo MBI = {
17783
414k
#ifndef CAPSTONE_DIET
17784
414k
    AsmStrs+(Bits & 16383)-1,
17785
#else
17786
    NULL,
17787
#endif // CAPSTONE_DIET
17788
414k
    Bits
17789
414k
  };
17790
414k
  return MBI;
17791
414k
}
17792
17793
/// printInstruction - This method is automatically generated by tablegen
17794
/// from the instruction set description.
17795
308k
static void printInstruction(MCInst *MI, uint64_t Address, SStream *O) {
17796
308k
  SStream_concat0(O, "");
17797
308k
  MnemonicBitsInfo MnemonicInfo = getMnemonic(MI, O);
17798
17799
308k
  SStream_concat0(O, MnemonicInfo.first);
17800
17801
308k
  uint64_t Bits = MnemonicInfo.second;
17802
308k
  assert(Bits != 0 && "Cannot print this instruction.");
17803
17804
  // Fragment 0 encoded into 7 bits for 78 unique commands.
17805
308k
  switch ((Bits >> 14) & 127) {
17806
0
  default: assert(0 && "Invalid command number.");
17807
90
  case 0:
17808
    // DBG_VALUE, DBG_VALUE_LIST, DBG_INSTR_REF, DBG_PHI, DBG_LABEL, BUNDLE, ...
17809
90
    return;
17810
0
    break;
17811
117k
  case 1:
17812
    // TLSDESCCALL, ABSWr, ABSXr, ABSv1i64, ADCSWr, ADCSXr, ADCWr, ADCXr, ADD...
17813
117k
    printOperand(MI, 0, O);
17814
117k
    break;
17815
8.22k
  case 2:
17816
    // ABS_ZPmZ_B, ADDHNB_ZZZ_B, ADDHNT_ZZZ_B, ADDP_ZPmZ_B, ADD_ZI_B, ADD_ZPm...
17817
8.22k
    printSVERegOp_b(MI, 0, O);
17818
8.22k
    break;
17819
21.2k
  case 3:
17820
    // ABS_ZPmZ_D, ADCLB_ZZZ_D, ADCLT_ZZZ_D, ADDP_ZPmZ_D, ADD_ZI_D, ADD_ZPmZ_...
17821
21.2k
    printSVERegOp_d(MI, 0, O);
17822
21.2k
    break;
17823
10.3k
  case 4:
17824
    // ABS_ZPmZ_H, ADDHNB_ZZZ_H, ADDHNT_ZZZ_H, ADDP_ZPmZ_H, ADD_ZI_H, ADD_ZPm...
17825
10.3k
    printSVERegOp_h(MI, 0, O);
17826
10.3k
    SStream_concat0(O, ", ");
17827
10.3k
    break;
17828
24.4k
  case 5:
17829
    // ABS_ZPmZ_S, ADCLB_ZZZ_S, ADCLT_ZZZ_S, ADDHNB_ZZZ_S, ADDHNT_ZZZ_S, ADDP...
17830
24.4k
    printSVERegOp_s(MI, 0, O);
17831
24.4k
    break;
17832
16.1k
  case 6:
17833
    // ABSv16i8, ABSv2i32, ABSv2i64, ABSv4i16, ABSv4i32, ABSv8i16, ABSv8i8, A...
17834
16.1k
    printVRegOperand(MI, 0, O);
17835
16.1k
    break;
17836
3.13k
  case 7:
17837
    // ADDHA_MPPZ_D, ADDHA_MPPZ_S, ADDVA_MPPZ_D, ADDVA_MPPZ_S, BFMOPA_MPPZZ, ...
17838
3.13k
    printMatrixTile(MI, 0, O);
17839
3.13k
    SStream_concat0(O, ", ");
17840
3.13k
    printSVERegOp_0(MI, 2, O);
17841
3.13k
    SStream_concat0(O, "/m, ");
17842
3.13k
    printSVERegOp_0(MI, 3, O);
17843
3.13k
    SStream_concat0(O, "/m, ");
17844
3.13k
    break;
17845
9.49k
  case 8:
17846
    // ADDHNv2i64_v4i32, ADDHNv4i32_v8i16, ADDHNv8i16_v16i8, AESDrr, AESErr, ...
17847
9.49k
    printVRegOperand(MI, 1, O);
17848
9.49k
    break;
17849
1.54k
  case 9:
17850
    // ADD_VG2_2ZZ_B, ADD_VG4_4ZZ_B, LD1B, LD1B_2Z, LD1B_2Z_IMM, LD1B_4Z, LD1...
17851
1.54k
    printTypedVectorList_0_b(MI, 0, O);
17852
1.54k
    SStream_concat0(O, ", ");
17853
1.54k
    break;
17854
6.10k
  case 10:
17855
    // ADD_VG2_2ZZ_D, ADD_VG4_4ZZ_D, FAMAX_2Z2Z_D, FAMAX_4Z4Z_D, FAMIN_2Z2Z_D...
17856
6.10k
    printTypedVectorList_0_d(MI, 0, O);
17857
6.10k
    SStream_concat0(O, ", ");
17858
6.10k
    break;
17859
6.59k
  case 11:
17860
    // ADD_VG2_2ZZ_H, ADD_VG4_4ZZ_H, BF1CVTL_2ZZ_BtoH_NAME, BF1CVT_2ZZ_BtoH_N...
17861
6.59k
    printTypedVectorList_0_h(MI, 0, O);
17862
6.59k
    SStream_concat0(O, ", ");
17863
6.59k
    break;
17864
6.22k
  case 12:
17865
    // ADD_VG2_2ZZ_S, ADD_VG4_4ZZ_S, FAMAX_2Z2Z_S, FAMAX_4Z4Z_S, FAMIN_2Z2Z_S...
17866
6.22k
    printTypedVectorList_0_s(MI, 0, O);
17867
6.22k
    SStream_concat0(O, ", ");
17868
6.22k
    break;
17869
2.20k
  case 13:
17870
    // ADD_VG2_M2Z2Z_D, ADD_VG2_M2ZZ_D, ADD_VG2_M2Z_D, ADD_VG4_M4Z4Z_D, ADD_V...
17871
2.20k
    printMatrix_64(MI, 0, O);
17872
2.20k
    SStream_concat1(O, '[');
17873
2.20k
    printOperand(MI, 2, O);
17874
2.20k
    SStream_concat0(O, ", ");
17875
2.20k
    break;
17876
4.31k
  case 14:
17877
    // ADD_VG2_M2Z2Z_S, ADD_VG2_M2ZZ_S, ADD_VG2_M2Z_S, ADD_VG4_M4Z4Z_S, ADD_V...
17878
4.31k
    printMatrix_32(MI, 0, O);
17879
4.31k
    SStream_concat1(O, '[');
17880
4.31k
    printOperand(MI, 2, O);
17881
4.31k
    SStream_concat0(O, ", ");
17882
4.31k
    break;
17883
394
  case 15:
17884
    // ANDV_VPZ_B, EORV_VPZ_B, ORV_VPZ_B, SMAXV_VPZ_B, SMINV_VPZ_B, UMAXV_VPZ...
17885
394
    printZPRasFPR_8(MI, 0, O);
17886
394
    SStream_concat0(O, ", ");
17887
394
    printSVERegOp_0(MI, 1, O);
17888
394
    SStream_concat0(O, ", ");
17889
394
    printSVERegOp_b(MI, 2, O);
17890
394
    return;
17891
0
    break;
17892
127
  case 16:
17893
    // ANDV_VPZ_D, EORV_VPZ_D, FADDA_VPZ_D, FADDV_VPZ_D, FMAXNMV_VPZ_D, FMAXV...
17894
127
    printZPRasFPR_64(MI, 0, O);
17895
127
    SStream_concat0(O, ", ");
17896
127
    printSVERegOp_0(MI, 1, O);
17897
127
    SStream_concat0(O, ", ");
17898
127
    break;
17899
47
  case 17:
17900
    // ANDV_VPZ_H, EORV_VPZ_H, FADDA_VPZ_H, FADDV_VPZ_H, FMAXNMV_VPZ_H, FMAXV...
17901
47
    printZPRasFPR_16(MI, 0, O);
17902
47
    SStream_concat0(O, ", ");
17903
47
    printSVERegOp_0(MI, 1, O);
17904
47
    SStream_concat0(O, ", ");
17905
47
    break;
17906
449
  case 18:
17907
    // ANDV_VPZ_S, EORV_VPZ_S, FADDA_VPZ_S, FADDV_VPZ_S, FMAXNMV_VPZ_S, FMAXV...
17908
449
    printZPRasFPR_32(MI, 0, O);
17909
449
    SStream_concat0(O, ", ");
17910
449
    printSVERegOp_0(MI, 1, O);
17911
449
    SStream_concat0(O, ", ");
17912
449
    break;
17913
17.7k
  case 19:
17914
    // AUTDA, AUTDB, AUTDZA, AUTDZB, AUTIA, AUTIB, AUTIZA, AUTIZB, CASAB, CAS...
17915
17.7k
    printOperand(MI, 1, O);
17916
17.7k
    break;
17917
5.97k
  case 20:
17918
    // AUTIASPPCi, AUTIBSPPCi, B, BL, RETAASPPCi, RETABSPPCi
17919
5.97k
    printAlignedLabel(MI, Address, 0, O);
17920
5.97k
    return;
17921
0
    break;
17922
743
  case 21:
17923
    // BCcc, Bcc
17924
743
    printCondCode(MI, 0, O);
17925
743
    SStream_concat0(O, "\t");
17926
743
    printAlignedLabel(MI, Address, 1, O);
17927
743
    return;
17928
0
    break;
17929
1.02k
  case 22:
17930
    // BFADD_VG2_M2Z_H, BFADD_VG4_M4Z_H, BFMLA_VG2_M2Z2Z, BFMLA_VG2_M2ZZ, BFM...
17931
1.02k
    printMatrix_16(MI, 0, O);
17932
1.02k
    SStream_concat1(O, '[');
17933
1.02k
    printOperand(MI, 2, O);
17934
1.02k
    SStream_concat0(O, ", ");
17935
1.02k
    break;
17936
86
  case 23:
17937
    // BRK, DCPS1, DCPS2, DCPS3, HLT, HVC, SMC, SVC, TCANCEL
17938
86
    printImmHex(MI, 0, O);
17939
86
    return;
17940
0
    break;
17941
78
  case 24:
17942
    // CASPALW, CASPAW, CASPLW, CASPW
17943
78
    printGPRSeqPairsClassOperand_32(MI, 1, O);
17944
78
    SStream_concat0(O, ", ");
17945
78
    printGPRSeqPairsClassOperand_32(MI, 2, O);
17946
78
    SStream_concat0(O, ", [");
17947
78
    printOperand(MI, 3, O);
17948
78
    SStream_concat1(O, ']');
17949
78
    return;
17950
0
    break;
17951
72
  case 25:
17952
    // CASPALX, CASPAX, CASPLX, CASPX, RCWCASP, RCWCASPA, RCWCASPAL, RCWCASPL...
17953
72
    printGPRSeqPairsClassOperand_64(MI, 1, O);
17954
72
    SStream_concat0(O, ", ");
17955
72
    printGPRSeqPairsClassOperand_64(MI, 2, O);
17956
72
    SStream_concat0(O, ", [");
17957
72
    printOperand(MI, 3, O);
17958
72
    SStream_concat1(O, ']');
17959
72
    return;
17960
0
    break;
17961
638
  case 26:
17962
    // CPYE, CPYEN, CPYERN, CPYERT, CPYERTN, CPYERTRN, CPYERTWN, CPYET, CPYET...
17963
638
    printOperand(MI, 3, O);
17964
638
    SStream_concat0(O, "]!, [");
17965
638
    printOperand(MI, 4, O);
17966
638
    SStream_concat0(O, "]!, ");
17967
638
    printOperand(MI, 5, O);
17968
638
    SStream_concat1(O, '!');
17969
638
    return;
17970
0
    break;
17971
63
  case 27:
17972
    // DMB, DSB, ISB, TSB
17973
63
    printBarrierOption(MI, 0, O);
17974
63
    return;
17975
0
    break;
17976
1.16k
  case 28:
17977
    // DSBnXS
17978
1.16k
    printBarriernXSOption(MI, 0, O);
17979
1.16k
    return;
17980
0
    break;
17981
407
  case 29:
17982
    // DUP_ZZI_Q, EXTRACT_ZPMXI_H_Q, EXTRACT_ZPMXI_V_Q, MOVAZ_ZMI_H_Q, MOVAZ_...
17983
407
    printSVERegOp_q(MI, 0, O);
17984
407
    SStream_concat0(O, ", ");
17985
407
    break;
17986
1.57k
  case 30:
17987
    // GLD1Q, LD1D_Q, LD1D_Q_IMM, LD1W_Q, LD1W_Q_IMM, LD2Q, LD2Q_IMM, LD3Q, L...
17988
1.57k
    printTypedVectorList_0_q(MI, 0, O);
17989
1.57k
    SStream_concat0(O, ", ");
17990
1.57k
    break;
17991
390
  case 31:
17992
    // HINT
17993
390
    printImm(MI, 0, O);
17994
390
    return;
17995
0
    break;
17996
468
  case 32:
17997
    // INSERT_MXIPZ_H_B, INSERT_MXIPZ_H_D, INSERT_MXIPZ_H_H, INSERT_MXIPZ_H_Q...
17998
468
    printMatrixTileVector_0(MI, 0, O);
17999
468
    SStream_concat1(O, '[');
18000
468
    break;
18001
1.29k
  case 33:
18002
    // INSERT_MXIPZ_V_B, INSERT_MXIPZ_V_D, INSERT_MXIPZ_V_H, INSERT_MXIPZ_V_Q...
18003
1.29k
    printMatrixTileVector_1(MI, 0, O);
18004
1.29k
    SStream_concat1(O, '[');
18005
1.29k
    break;
18006
2.30k
  case 34:
18007
    // LD1B_2Z_STRIDED, LD1B_2Z_STRIDED_IMM, LDNT1B_2Z_STRIDED, LDNT1B_2Z_STR...
18008
2.30k
    printTypedVectorList_0_b(MI, 0, O);
18009
2.30k
    break;
18010
47
  case 35:
18011
    // LD1Fourv16b, LD1Onev16b, LD1Rv16b, LD1Threev16b, LD1Twov16b, LD2Rv16b,...
18012
47
    printTypedVectorList_16_b(MI, 0, O);
18013
47
    SStream_concat0(O, ", [");
18014
47
    printOperand(MI, 1, O);
18015
47
    SStream_concat1(O, ']');
18016
47
    return;
18017
0
    break;
18018
203
  case 36:
18019
    // LD1Fourv16b_POST, LD1Onev16b_POST, LD1Rv16b_POST, LD1Threev16b_POST, L...
18020
203
    printTypedVectorList_16_b(MI, 1, O);
18021
203
    SStream_concat0(O, ", [");
18022
203
    printOperand(MI, 2, O);
18023
203
    SStream_concat0(O, "], ");
18024
203
    break;
18025
46
  case 37:
18026
    // LD1Fourv1d, LD1Onev1d, LD1Rv1d, LD1Threev1d, LD1Twov1d, LD2Rv1d, LD3Rv...
18027
46
    printTypedVectorList_1_d(MI, 0, O);
18028
46
    SStream_concat0(O, ", [");
18029
46
    printOperand(MI, 1, O);
18030
46
    SStream_concat1(O, ']');
18031
46
    return;
18032
0
    break;
18033
68
  case 38:
18034
    // LD1Fourv1d_POST, LD1Onev1d_POST, LD1Rv1d_POST, LD1Threev1d_POST, LD1Tw...
18035
68
    printTypedVectorList_1_d(MI, 1, O);
18036
68
    SStream_concat0(O, ", [");
18037
68
    printOperand(MI, 2, O);
18038
68
    SStream_concat0(O, "], ");
18039
68
    break;
18040
469
  case 39:
18041
    // LD1Fourv2d, LD1Onev2d, LD1Rv2d, LD1Threev2d, LD1Twov2d, LD2Rv2d, LD2Tw...
18042
469
    printTypedVectorList_2_d(MI, 0, O);
18043
469
    SStream_concat0(O, ", [");
18044
469
    printOperand(MI, 1, O);
18045
469
    SStream_concat1(O, ']');
18046
469
    return;
18047
0
    break;
18048
72
  case 40:
18049
    // LD1Fourv2d_POST, LD1Onev2d_POST, LD1Rv2d_POST, LD1Threev2d_POST, LD1Tw...
18050
72
    printTypedVectorList_2_d(MI, 1, O);
18051
72
    SStream_concat0(O, ", [");
18052
72
    printOperand(MI, 2, O);
18053
72
    SStream_concat0(O, "], ");
18054
72
    break;
18055
486
  case 41:
18056
    // LD1Fourv2s, LD1Onev2s, LD1Rv2s, LD1Threev2s, LD1Twov2s, LD2Rv2s, LD2Tw...
18057
486
    printTypedVectorList_2_s(MI, 0, O);
18058
486
    SStream_concat0(O, ", [");
18059
486
    printOperand(MI, 1, O);
18060
486
    SStream_concat1(O, ']');
18061
486
    return;
18062
0
    break;
18063
165
  case 42:
18064
    // LD1Fourv2s_POST, LD1Onev2s_POST, LD1Rv2s_POST, LD1Threev2s_POST, LD1Tw...
18065
165
    printTypedVectorList_2_s(MI, 1, O);
18066
165
    SStream_concat0(O, ", [");
18067
165
    printOperand(MI, 2, O);
18068
165
    SStream_concat0(O, "], ");
18069
165
    break;
18070
39
  case 43:
18071
    // LD1Fourv4h, LD1Onev4h, LD1Rv4h, LD1Threev4h, LD1Twov4h, LD2Rv4h, LD2Tw...
18072
39
    printTypedVectorList_4_h(MI, 0, O);
18073
39
    SStream_concat0(O, ", [");
18074
39
    printOperand(MI, 1, O);
18075
39
    SStream_concat1(O, ']');
18076
39
    return;
18077
0
    break;
18078
309
  case 44:
18079
    // LD1Fourv4h_POST, LD1Onev4h_POST, LD1Rv4h_POST, LD1Threev4h_POST, LD1Tw...
18080
309
    printTypedVectorList_4_h(MI, 1, O);
18081
309
    SStream_concat0(O, ", [");
18082
309
    printOperand(MI, 2, O);
18083
309
    SStream_concat0(O, "], ");
18084
309
    break;
18085
91
  case 45:
18086
    // LD1Fourv4s, LD1Onev4s, LD1Rv4s, LD1Threev4s, LD1Twov4s, LD2Rv4s, LD2Tw...
18087
91
    printTypedVectorList_4_s(MI, 0, O);
18088
91
    SStream_concat0(O, ", [");
18089
91
    printOperand(MI, 1, O);
18090
91
    SStream_concat1(O, ']');
18091
91
    return;
18092
0
    break;
18093
156
  case 46:
18094
    // LD1Fourv4s_POST, LD1Onev4s_POST, LD1Rv4s_POST, LD1Threev4s_POST, LD1Tw...
18095
156
    printTypedVectorList_4_s(MI, 1, O);
18096
156
    SStream_concat0(O, ", [");
18097
156
    printOperand(MI, 2, O);
18098
156
    SStream_concat0(O, "], ");
18099
156
    break;
18100
113
  case 47:
18101
    // LD1Fourv8b, LD1Onev8b, LD1Rv8b, LD1Threev8b, LD1Twov8b, LD2Rv8b, LD2Tw...
18102
113
    printTypedVectorList_8_b(MI, 0, O);
18103
113
    SStream_concat0(O, ", [");
18104
113
    printOperand(MI, 1, O);
18105
113
    SStream_concat1(O, ']');
18106
113
    return;
18107
0
    break;
18108
124
  case 48:
18109
    // LD1Fourv8b_POST, LD1Onev8b_POST, LD1Rv8b_POST, LD1Threev8b_POST, LD1Tw...
18110
124
    printTypedVectorList_8_b(MI, 1, O);
18111
124
    SStream_concat0(O, ", [");
18112
124
    printOperand(MI, 2, O);
18113
124
    SStream_concat0(O, "], ");
18114
124
    break;
18115
42
  case 49:
18116
    // LD1Fourv8h, LD1Onev8h, LD1Rv8h, LD1Threev8h, LD1Twov8h, LD2Rv8h, LD2Tw...
18117
42
    printTypedVectorList_8_h(MI, 0, O);
18118
42
    SStream_concat0(O, ", [");
18119
42
    printOperand(MI, 1, O);
18120
42
    SStream_concat1(O, ']');
18121
42
    return;
18122
0
    break;
18123
241
  case 50:
18124
    // LD1Fourv8h_POST, LD1Onev8h_POST, LD1Rv8h_POST, LD1Threev8h_POST, LD1Tw...
18125
241
    printTypedVectorList_8_h(MI, 1, O);
18126
241
    SStream_concat0(O, ", [");
18127
241
    printOperand(MI, 2, O);
18128
241
    SStream_concat0(O, "], ");
18129
241
    break;
18130
912
  case 51:
18131
    // LD1H_2Z_STRIDED, LD1H_2Z_STRIDED_IMM, LDNT1H_2Z_STRIDED, LDNT1H_2Z_STR...
18132
912
    printTypedVectorList_0_h(MI, 0, O);
18133
912
    break;
18134
1.47k
  case 52:
18135
    // LD1i16, LD2i16, LD3i16, LD4i16, ST1i16_POST, ST2i16_POST, ST3i16_POST,...
18136
1.47k
    printTypedVectorList_0_h(MI, 1, O);
18137
1.47k
    printVectorIndex_1(MI, 2, O);
18138
1.47k
    SStream_concat0(O, ", [");
18139
1.47k
    printOperand(MI, 3, O);
18140
1.47k
    break;
18141
174
  case 53:
18142
    // LD1i16_POST, LD2i16_POST, LD3i16_POST, LD4i16_POST
18143
174
    printTypedVectorList_0_h(MI, 2, O);
18144
174
    printVectorIndex_1(MI, 3, O);
18145
174
    SStream_concat0(O, ", [");
18146
174
    printOperand(MI, 4, O);
18147
174
    SStream_concat0(O, "], ");
18148
174
    break;
18149
1.43k
  case 54:
18150
    // LD1i32, LD2i32, LD3i32, LD4i32, ST1i32_POST, ST2i32_POST, ST3i32_POST,...
18151
1.43k
    printTypedVectorList_0_s(MI, 1, O);
18152
1.43k
    printVectorIndex_1(MI, 2, O);
18153
1.43k
    SStream_concat0(O, ", [");
18154
1.43k
    printOperand(MI, 3, O);
18155
1.43k
    break;
18156
493
  case 55:
18157
    // LD1i32_POST, LD2i32_POST, LD3i32_POST, LD4i32_POST
18158
493
    printTypedVectorList_0_s(MI, 2, O);
18159
493
    printVectorIndex_1(MI, 3, O);
18160
493
    SStream_concat0(O, ", [");
18161
493
    printOperand(MI, 4, O);
18162
493
    SStream_concat0(O, "], ");
18163
493
    break;
18164
4.16k
  case 56:
18165
    // LD1i64, LD2i64, LD3i64, LD4i64, LDAP1, ST1i64_POST, ST2i64_POST, ST3i6...
18166
4.16k
    printTypedVectorList_0_d(MI, 1, O);
18167
4.16k
    printVectorIndex_1(MI, 2, O);
18168
4.16k
    SStream_concat0(O, ", [");
18169
4.16k
    printOperand(MI, 3, O);
18170
4.16k
    break;
18171
2.65k
  case 57:
18172
    // LD1i64_POST, LD2i64_POST, LD3i64_POST, LD4i64_POST
18173
2.65k
    printTypedVectorList_0_d(MI, 2, O);
18174
2.65k
    printVectorIndex_1(MI, 3, O);
18175
2.65k
    SStream_concat0(O, ", [");
18176
2.65k
    printOperand(MI, 4, O);
18177
2.65k
    SStream_concat0(O, "], ");
18178
2.65k
    break;
18179
1.42k
  case 58:
18180
    // LD1i8, LD2i8, LD3i8, LD4i8, ST1i8_POST, ST2i8_POST, ST3i8_POST, ST4i8_...
18181
1.42k
    printTypedVectorList_0_b(MI, 1, O);
18182
1.42k
    printVectorIndex_1(MI, 2, O);
18183
1.42k
    SStream_concat0(O, ", [");
18184
1.42k
    printOperand(MI, 3, O);
18185
1.42k
    break;
18186
946
  case 59:
18187
    // LD1i8_POST, LD2i8_POST, LD3i8_POST, LD4i8_POST
18188
946
    printTypedVectorList_0_b(MI, 2, O);
18189
946
    printVectorIndex_1(MI, 3, O);
18190
946
    SStream_concat0(O, ", [");
18191
946
    printOperand(MI, 4, O);
18192
946
    SStream_concat0(O, "], ");
18193
946
    break;
18194
18
  case 60:
18195
    // LD64B, ST64B
18196
18
    printGPR64x8(MI, 0, O);
18197
18
    SStream_concat0(O, ", [");
18198
18
    printOperand(MI, 1, O);
18199
18
    SStream_concat1(O, ']');
18200
18
    return;
18201
0
    break;
18202
491
  case 61:
18203
    // LDCLRP, LDCLRPA, LDCLRPAL, LDCLRPL, LDSETP, LDSETPA, LDSETPAL, LDSETPL...
18204
491
    printOperand(MI, 2, O);
18205
491
    break;
18206
1.52k
  case 62:
18207
    // LDR_PXI, LDR_ZXI, MOVPRFX_ZZ, PMOV_ZIP_B, PMOV_ZIP_D, PMOV_ZIP_H, PMOV...
18208
1.52k
    printSVERegOp_0(MI, 0, O);
18209
1.52k
    break;
18210
33
  case 63:
18211
    // LDR_ZA, STR_ZA
18212
33
    printMatrix_0(MI, 0, O);
18213
33
    SStream_concat1(O, '[');
18214
33
    printOperand(MI, 1, O);
18215
33
    SStream_concat0(O, ", ");
18216
33
    printMatrixIndex_1(MI, 2, O);
18217
33
    SStream_concat0(O, "], [");
18218
33
    printOperand(MI, 3, O);
18219
33
    SStream_concat0(O, ", ");
18220
33
    printOperand(MI, 4, O);
18221
33
    SStream_concat0(O, ", mul vl]");
18222
33
    return;
18223
0
    break;
18224
175
  case 64:
18225
    // MRRS
18226
175
    printGPRSeqPairsClassOperand_64(MI, 0, O);
18227
175
    SStream_concat0(O, ", ");
18228
175
    printMRSSystemRegister(MI, 1, O);
18229
175
    return;
18230
0
    break;
18231
3.86k
  case 65:
18232
    // MSR, MSRR
18233
3.86k
    printMSRSystemRegister(MI, 0, O);
18234
3.86k
    SStream_concat0(O, ", ");
18235
3.86k
    break;
18236
1.05k
  case 66:
18237
    // MSRpstateImm1, MSRpstateImm4
18238
1.05k
    printSystemPStateField(MI, 0, O);
18239
1.05k
    SStream_concat0(O, ", ");
18240
1.05k
    printOperand(MI, 1, O);
18241
1.05k
    return;
18242
0
    break;
18243
0
  case 67:
18244
    // MSRpstatesvcrImm1
18245
0
    printSVCROp(MI, 0, O);
18246
0
    SStream_concat0(O, ", ");
18247
0
    printOperand(MI, 1, O);
18248
0
    return;
18249
0
    break;
18250
4.44k
  case 68:
18251
    // PRFB_D_PZI, PRFB_D_SCALED, PRFB_D_SXTW_SCALED, PRFB_D_UXTW_SCALED, PRF...
18252
4.44k
    printPrefetchOp_1(MI, 0, O);
18253
4.44k
    SStream_concat0(O, ", ");
18254
4.44k
    printSVERegOp_0(MI, 1, O);
18255
4.44k
    SStream_concat0(O, ", [");
18256
4.44k
    break;
18257
3.57k
  case 69:
18258
    // PRFMl, PRFMroW, PRFMroX, PRFMui, PRFUMi
18259
3.57k
    printPrefetchOp_0(MI, 0, O);
18260
3.57k
    break;
18261
48
  case 70:
18262
    // PTRUE_C_B, WHILEGE_CXX_B, WHILEGT_CXX_B, WHILEHI_CXX_B, WHILEHS_CXX_B,...
18263
48
    printPredicateAsCounter_8(MI, 0, O);
18264
48
    break;
18265
394
  case 71:
18266
    // PTRUE_C_D, WHILEGE_CXX_D, WHILEGT_CXX_D, WHILEHI_CXX_D, WHILEHS_CXX_D,...
18267
394
    printPredicateAsCounter_64(MI, 0, O);
18268
394
    break;
18269
214
  case 72:
18270
    // PTRUE_C_H, WHILEGE_CXX_H, WHILEGT_CXX_H, WHILEHI_CXX_H, WHILEHS_CXX_H,...
18271
214
    printPredicateAsCounter_16(MI, 0, O);
18272
214
    break;
18273
58
  case 73:
18274
    // PTRUE_C_S, WHILEGE_CXX_S, WHILEGT_CXX_S, WHILEHI_CXX_S, WHILEHS_CXX_S,...
18275
58
    printPredicateAsCounter_32(MI, 0, O);
18276
58
    break;
18277
126
  case 74:
18278
    // RPRFM
18279
126
    printRPRFMOperand(MI, 0, O);
18280
126
    SStream_concat0(O, ", ");
18281
126
    printOperand(MI, 1, O);
18282
126
    SStream_concat0(O, ", [");
18283
126
    printOperand(MI, 2, O);
18284
126
    SStream_concat1(O, ']');
18285
126
    return;
18286
0
    break;
18287
269
  case 75:
18288
    // ST1i32, ST2i32, ST3i32, ST4i32
18289
269
    printTypedVectorList_0_s(MI, 0, O);
18290
269
    printVectorIndex_1(MI, 1, O);
18291
269
    SStream_concat0(O, ", [");
18292
269
    printOperand(MI, 2, O);
18293
269
    SStream_concat1(O, ']');
18294
269
    return;
18295
0
    break;
18296
2.44k
  case 76:
18297
    // ST1i64, ST2i64, ST3i64, ST4i64, STL1
18298
2.44k
    printTypedVectorList_0_d(MI, 0, O);
18299
2.44k
    printVectorIndex_1(MI, 1, O);
18300
2.44k
    SStream_concat0(O, ", [");
18301
2.44k
    printOperand(MI, 2, O);
18302
2.44k
    SStream_concat1(O, ']');
18303
2.44k
    return;
18304
0
    break;
18305
409
  case 77:
18306
    // ZERO_M
18307
409
    printMatrixTileList(MI, 0, O);
18308
409
    return;
18309
0
    break;
18310
308k
  }
18311
18312
18313
  // Fragment 1 encoded into 7 bits for 87 unique commands.
18314
292k
  switch ((Bits >> 21) & 127) {
18315
0
  default: assert(0 && "Invalid command number.");
18316
14.9k
  case 0:
18317
    // TLSDESCCALL, AUTDZA, AUTDZB, AUTIASPPCr, AUTIBSPPCr, AUTIZA, AUTIZB, B...
18318
14.9k
    return;
18319
0
    break;
18320
146k
  case 1:
18321
    // ABSWr, ABSXr, ABS_ZPmZ_B, ABS_ZPmZ_D, ABS_ZPmZ_S, ABSv1i64, ADCLB_ZZZ_...
18322
146k
    SStream_concat0(O, ", ");
18323
146k
    break;
18324
112
  case 2:
18325
    // ABS_ZPmZ_H, BFCVTNT_ZPmZ, BFCVT_ZPmZ, CLS_ZPmZ_H, CLZ_ZPmZ_H, CNOT_ZPm...
18326
112
    printSVERegOp_0(MI, 2, O);
18327
112
    SStream_concat0(O, "/m, ");
18328
112
    break;
18329
2.18k
  case 3:
18330
    // ABSv16i8, ADDHNv8i16_v16i8, ADDPv16i8, ADDQV_VPZ_B, ADDv16i8, AESDrr, ...
18331
2.18k
    SStream_concat0(O, ".16b, ");
18332
2.18k
    break;
18333
2.86k
  case 4:
18334
    // ABSv2i32, ADDHNv2i64_v2i32, ADDPv2i32, ADDv2i32, BF16DOTlanev4bf16, BF...
18335
2.86k
    SStream_concat0(O, ".2s, ");
18336
2.86k
    break;
18337
4.65k
  case 5:
18338
    // ABSv2i64, ADDPv2i64, ADDQV_VPZ_D, ADDv2i64, ANDQV_VPZ_D, CMEQv2i64, CM...
18339
4.65k
    SStream_concat0(O, ".2d, ");
18340
4.65k
    break;
18341
2.35k
  case 6:
18342
    // ABSv4i16, ADDHNv4i32_v4i16, ADDPv4i16, ADDv4i16, BFCVTN, BICv4i16, CLS...
18343
2.35k
    SStream_concat0(O, ".4h, ");
18344
2.35k
    break;
18345
6.98k
  case 7:
18346
    // ABSv4i32, ADDHNv2i64_v4i32, ADDPv4i32, ADDQV_VPZ_S, ADDv4i32, ANDQV_VP...
18347
6.98k
    SStream_concat0(O, ".4s, ");
18348
6.98k
    break;
18349
3.74k
  case 8:
18350
    // ABSv8i16, ADDHNv4i32_v8i16, ADDPv8i16, ADDQV_VPZ_H, ADDv8i16, ANDQV_VP...
18351
3.74k
    SStream_concat0(O, ".8h, ");
18352
3.74k
    break;
18353
2.20k
  case 9:
18354
    // ABSv8i8, ADDHNv8i16_v8i8, ADDPv8i8, ADDv8i8, ANDv8i8, BICv8i8, BIFv8i8...
18355
2.20k
    SStream_concat0(O, ".8b, ");
18356
2.20k
    break;
18357
292
  case 10:
18358
    // ADDHA_MPPZ_D, ADDVA_MPPZ_D, FMOPA_MPPZZ_D, FMOPS_MPPZZ_D
18359
292
    printSVERegOp_d(MI, 4, O);
18360
292
    break;
18361
452
  case 11:
18362
    // ADDHA_MPPZ_S, ADDVA_MPPZ_S, BMOPA_MPPZZ_S, BMOPS_MPPZZ_S, FMOPA_MPPZZ_...
18363
452
    printSVERegOp_s(MI, 4, O);
18364
452
    break;
18365
19
  case 12:
18366
    // ADDHNB_ZZZ_H, RADDHNB_ZZZ_H, RSHRNB_ZZI_H, RSUBHNB_ZZZ_H, SHRNB_ZZI_H,...
18367
19
    printSVERegOp_s(MI, 1, O);
18368
19
    break;
18369
848
  case 13:
18370
    // ADDHNT_ZZZ_H, ANDV_VPZ_S, EORV_VPZ_S, FADDV_VPZ_S, FCLAMP_VG2_2Z2Z_S, ...
18371
848
    printSVERegOp_s(MI, 2, O);
18372
848
    break;
18373
15.9k
  case 14:
18374
    // ADDP_ZPmZ_H, ADD_ZPmZ_H, AND_ZPmZ_H, ASRD_ZPmI_H, ASRR_ZPmZ_H, ASR_WID...
18375
15.9k
    printSVERegOp_0(MI, 1, O);
18376
15.9k
    break;
18377
161
  case 15:
18378
    // ADD_VG2_2ZZ_B, ADD_VG4_4ZZ_B, SMAX_VG2_2Z2Z_B, SMAX_VG2_2ZZ_B, SMAX_VG...
18379
161
    printTypedVectorList_0_b(MI, 1, O);
18380
161
    break;
18381
171
  case 16:
18382
    // ADD_VG2_2ZZ_D, ADD_VG4_4ZZ_D, FAMAX_2Z2Z_D, FAMAX_4Z4Z_D, FAMIN_2Z2Z_D...
18383
171
    printTypedVectorList_0_d(MI, 1, O);
18384
171
    break;
18385
447
  case 17:
18386
    // ADD_VG2_2ZZ_H, ADD_VG4_4ZZ_H, BFMAXNM_VG2_2Z2Z_H, BFMAXNM_VG2_2ZZ_H, B...
18387
447
    printTypedVectorList_0_h(MI, 1, O);
18388
447
    break;
18389
151
  case 18:
18390
    // ADD_VG2_2ZZ_S, ADD_VG4_4ZZ_S, BFCVTN_Z2Z_StoH, BFCVT_Z2Z_StoH, FAMAX_2...
18391
151
    printTypedVectorList_0_s(MI, 1, O);
18392
151
    break;
18393
2.57k
  case 19:
18394
    // ADD_VG2_M2Z2Z_D, ADD_VG2_M2Z2Z_S, ADD_VG2_M2ZZ_D, ADD_VG2_M2ZZ_S, ADD_...
18395
2.57k
    printMatrixIndex_1(MI, 3, O);
18396
2.57k
    break;
18397
2.07k
  case 20:
18398
    // ADD_ZI_H, ADD_ZZZ_H, ASR_WIDE_ZZZ_H, ASR_ZZI_H, BDEP_ZZZ_H, BEXT_ZZZ_H...
18399
2.07k
    printSVERegOp_h(MI, 1, O);
18400
2.07k
    break;
18401
32.8k
  case 21:
18402
    // ADR_LSL_ZZZ_D_0, ADR_LSL_ZZZ_D_1, ADR_LSL_ZZZ_D_2, ADR_LSL_ZZZ_D_3, AD...
18403
32.8k
    SStream_concat0(O, ", [");
18404
32.8k
    break;
18405
345
  case 22:
18406
    // ANDV_VPZ_D, EORV_VPZ_D, FADDV_VPZ_D, FCLAMP_VG2_2Z2Z_D, FCLAMP_VG4_4Z4...
18407
345
    printSVERegOp_d(MI, 2, O);
18408
345
    break;
18409
672
  case 23:
18410
    // ANDV_VPZ_H, BFCLAMP_VG2_2ZZZ_H, BFCLAMP_VG4_4ZZZ_H, BFCLAMP_ZZZ, BFMLA...
18411
672
    printSVERegOp_h(MI, 2, O);
18412
672
    break;
18413
223
  case 24:
18414
    // BF1CVTLT_ZZ_BtoH, BF1CVTL_2ZZ_BtoH_NAME, BF1CVT_2ZZ_BtoH_NAME, BF1CVT_...
18415
223
    printSVERegOp_b(MI, 1, O);
18416
223
    break;
18417
2.09k
  case 25:
18418
    // BFMLAL_MZZI_HtoS, BFMLAL_MZZ_HtoS, BFMLAL_VG2_M2Z2Z_HtoS, BFMLAL_VG2_M...
18419
2.09k
    printImmRangeScale_2_1(MI, 3, O);
18420
2.09k
    break;
18421
1.24k
  case 26:
18422
    // BFMOPA_MPPZZ, BFMOPA_MPPZZ_H, BFMOPS_MPPZZ, BFMOPS_MPPZZ_H, FMOPAL_MPP...
18423
1.24k
    printSVERegOp_h(MI, 4, O);
18424
1.24k
    SStream_concat0(O, ", ");
18425
1.24k
    printSVERegOp_h(MI, 5, O);
18426
1.24k
    return;
18427
0
    break;
18428
15
  case 27:
18429
    // DECH_ZPiI, INCH_ZPiI, SQDECH_ZPiI, SQINCH_ZPiI, UQDECH_ZPiI, UQINCH_ZP...
18430
15
    printSVEPattern(MI, 2, O);
18431
15
    SStream_concat0(O, ", mul ");
18432
15
    printOperand(MI, 3, O);
18433
15
    return;
18434
0
    break;
18435
0
  case 28:
18436
    // DUP_ZI_H
18437
0
    printImm8OptLsl_int16_t(MI, 1, O);
18438
0
    return;
18439
0
    break;
18440
8.05k
  case 29:
18441
    // DUP_ZR_H, INDEX_RI_H, INDEX_RR_H, LD1_MXIPXX_H_B, LD1_MXIPXX_H_D, LD1_...
18442
8.05k
    printOperand(MI, 1, O);
18443
8.05k
    break;
18444
373
  case 30:
18445
    // DUP_ZZI_Q, TRN1_ZZZ_Q, TRN2_ZZZ_Q, UZP1_ZZZ_Q, UZP2_ZZZ_Q, UZP_VG2_2ZZ...
18446
373
    printSVERegOp_q(MI, 1, O);
18447
373
    break;
18448
3
  case 31:
18449
    // FADDA_VPZ_D
18450
3
    printZPRasFPR_64(MI, 2, O);
18451
3
    SStream_concat0(O, ", ");
18452
3
    printSVERegOp_d(MI, 3, O);
18453
3
    return;
18454
0
    break;
18455
11
  case 32:
18456
    // FADDA_VPZ_H, INSR_ZV_H
18457
11
    printZPRasFPR_16(MI, 2, O);
18458
11
    break;
18459
38
  case 33:
18460
    // FADDA_VPZ_S
18461
38
    printZPRasFPR_32(MI, 2, O);
18462
38
    SStream_concat0(O, ", ");
18463
38
    printSVERegOp_s(MI, 3, O);
18464
38
    return;
18465
0
    break;
18466
1.40k
  case 34:
18467
    // FCMPDri, FCMPEDri, FCMPEHri, FCMPESri, FCMPHri, FCMPSri
18468
1.40k
    SStream_concat0(O, ", #0.0");
18469
1.40k
    return;
18470
0
    break;
18471
740
  case 35:
18472
    // FDOT_ZZZI_BtoH, FDOT_ZZZ_BtoH, FMLALB_ZZZ, FMLALB_ZZZI, FMLALT_ZZZ, FM...
18473
740
    printSVERegOp_b(MI, 2, O);
18474
740
    break;
18475
0
  case 36:
18476
    // FDUP_ZI_H
18477
0
    printFPImmOperand(MI, 1, O);
18478
0
    return;
18479
0
    break;
18480
2.87k
  case 37:
18481
    // FMLALL_MZZI_BtoS, FMLALL_MZZ_BtoS, FMLALL_VG2_M2Z2Z_BtoS, FMLALL_VG2_M...
18482
2.87k
    printImmRangeScale_4_3(MI, 3, O);
18483
2.87k
    break;
18484
1.13k
  case 38:
18485
    // FMOPA_MPPZZ_BtoH, FMOPA_MPPZZ_BtoS, SMOPA_MPPZZ_S, SMOPS_MPPZZ_S, SUMO...
18486
1.13k
    printSVERegOp_b(MI, 4, O);
18487
1.13k
    SStream_concat0(O, ", ");
18488
1.13k
    printSVERegOp_b(MI, 5, O);
18489
1.13k
    return;
18490
0
    break;
18491
24
  case 39:
18492
    // FMOVXDHighr, INSvi64gpr, INSvi64lane
18493
24
    SStream_concat0(O, ".d");
18494
24
    printVectorIndex_1(MI, 2, O);
18495
24
    SStream_concat0(O, ", ");
18496
24
    break;
18497
42
  case 40:
18498
    // INDEX_II_H, INDEX_IR_H
18499
42
    printSImm_16(MI, 1, O);
18500
42
    SStream_concat0(O, ", ");
18501
42
    break;
18502
4.09k
  case 41:
18503
    // INSERT_MXIPZ_H_B, INSERT_MXIPZ_H_D, INSERT_MXIPZ_H_H, INSERT_MXIPZ_H_Q...
18504
4.09k
    printOperand(MI, 2, O);
18505
4.09k
    break;
18506
0
  case 42:
18507
    // INSvi16gpr, INSvi16lane
18508
0
    SStream_concat0(O, ".h");
18509
0
    printVectorIndex_1(MI, 2, O);
18510
0
    SStream_concat0(O, ", ");
18511
0
    break;
18512
0
  case 43:
18513
    // INSvi32gpr, INSvi32lane
18514
0
    SStream_concat0(O, ".s");
18515
0
    printVectorIndex_1(MI, 2, O);
18516
0
    SStream_concat0(O, ", ");
18517
0
    break;
18518
0
  case 44:
18519
    // INSvi8gpr, INSvi8lane
18520
0
    SStream_concat0(O, ".b");
18521
0
    printVectorIndex_1(MI, 2, O);
18522
0
    SStream_concat0(O, ", ");
18523
0
    break;
18524
7.11k
  case 45:
18525
    // LD1B_2Z, LD1B_2Z_IMM, LD1B_4Z, LD1B_4Z_IMM, LD1B_4Z_STRIDED, LD1B_4Z_S...
18526
7.11k
    printPredicateAsCounter_0(MI, 1, O);
18527
7.11k
    break;
18528
164
  case 46:
18529
    // LD1Fourv16b_POST, LD1Fourv2d_POST, LD1Fourv4s_POST, LD1Fourv8h_POST, L...
18530
164
    printPostIncOperand_64(MI, 3, O);
18531
164
    return;
18532
0
    break;
18533
230
  case 47:
18534
    // LD1Fourv1d_POST, LD1Fourv2s_POST, LD1Fourv4h_POST, LD1Fourv8b_POST, LD...
18535
230
    printPostIncOperand_32(MI, 3, O);
18536
230
    return;
18537
0
    break;
18538
127
  case 48:
18539
    // LD1Onev16b_POST, LD1Onev2d_POST, LD1Onev4s_POST, LD1Onev8h_POST, LD1Tw...
18540
127
    printPostIncOperand_16(MI, 3, O);
18541
127
    return;
18542
0
    break;
18543
173
  case 49:
18544
    // LD1Onev1d_POST, LD1Onev2s_POST, LD1Onev4h_POST, LD1Onev8b_POST, LD1Rv1...
18545
173
    printPostIncOperand_8(MI, 3, O);
18546
173
    return;
18547
0
    break;
18548
55
  case 50:
18549
    // LD1Rv16b_POST, LD1Rv8b_POST
18550
55
    printPostIncOperand_1(MI, 3, O);
18551
55
    return;
18552
0
    break;
18553
147
  case 51:
18554
    // LD1Rv2s_POST, LD1Rv4s_POST, LD2Rv4h_POST, LD2Rv8h_POST, LD4Rv16b_POST,...
18555
147
    printPostIncOperand_4(MI, 3, O);
18556
147
    return;
18557
0
    break;
18558
35
  case 52:
18559
    // LD1Rv4h_POST, LD1Rv8h_POST, LD2Rv16b_POST, LD2Rv8b_POST
18560
35
    printPostIncOperand_2(MI, 3, O);
18561
35
    return;
18562
0
    break;
18563
29
  case 53:
18564
    // LD1Threev16b_POST, LD1Threev2d_POST, LD1Threev4s_POST, LD1Threev8h_POS...
18565
29
    printPostIncOperand_48(MI, 3, O);
18566
29
    return;
18567
0
    break;
18568
308
  case 54:
18569
    // LD1Threev1d_POST, LD1Threev2s_POST, LD1Threev4h_POST, LD1Threev8b_POST...
18570
308
    printPostIncOperand_24(MI, 3, O);
18571
308
    return;
18572
0
    break;
18573
4.80k
  case 55:
18574
    // LD1i16, LD1i32, LD1i64, LD1i8, LD2i16, LD2i32, LD2i64, LD2i8, LD3i16, ...
18575
4.80k
    SStream_concat1(O, ']');
18576
4.80k
    return;
18577
0
    break;
18578
265
  case 56:
18579
    // LD1i16_POST, LD2i8_POST
18580
265
    printPostIncOperand_2(MI, 5, O);
18581
265
    return;
18582
0
    break;
18583
109
  case 57:
18584
    // LD1i32_POST, LD2i16_POST, LD4i8_POST
18585
109
    printPostIncOperand_4(MI, 5, O);
18586
109
    return;
18587
0
    break;
18588
694
  case 58:
18589
    // LD1i64_POST, LD2i32_POST, LD4i16_POST
18590
694
    printPostIncOperand_8(MI, 5, O);
18591
694
    return;
18592
0
    break;
18593
615
  case 59:
18594
    // LD1i8_POST
18595
615
    printPostIncOperand_1(MI, 5, O);
18596
615
    return;
18597
0
    break;
18598
516
  case 60:
18599
    // LD2i64_POST, LD4i32_POST
18600
516
    printPostIncOperand_16(MI, 5, O);
18601
516
    return;
18602
0
    break;
18603
11
  case 61:
18604
    // LD3Rv16b_POST, LD3Rv8b_POST
18605
11
    printPostIncOperand_3(MI, 3, O);
18606
11
    return;
18607
0
    break;
18608
14
  case 62:
18609
    // LD3Rv2s_POST, LD3Rv4s_POST
18610
14
    printPostIncOperand_12(MI, 3, O);
18611
14
    return;
18612
0
    break;
18613
45
  case 63:
18614
    // LD3Rv4h_POST, LD3Rv8h_POST
18615
45
    printPostIncOperand_6(MI, 3, O);
18616
45
    return;
18617
0
    break;
18618
94
  case 64:
18619
    // LD3i16_POST
18620
94
    printPostIncOperand_6(MI, 5, O);
18621
94
    return;
18622
0
    break;
18623
35
  case 65:
18624
    // LD3i32_POST
18625
35
    printPostIncOperand_12(MI, 5, O);
18626
35
    return;
18627
0
    break;
18628
1.03k
  case 66:
18629
    // LD3i64_POST
18630
1.03k
    printPostIncOperand_24(MI, 5, O);
18631
1.03k
    return;
18632
0
    break;
18633
55
  case 67:
18634
    // LD3i8_POST
18635
55
    printPostIncOperand_3(MI, 5, O);
18636
55
    return;
18637
0
    break;
18638
850
  case 68:
18639
    // LD4i64_POST
18640
850
    printPostIncOperand_32(MI, 5, O);
18641
850
    return;
18642
0
    break;
18643
367
  case 69:
18644
    // MOPSSETGE, MOPSSETGEN, MOPSSETGET, MOPSSETGETN, SETE, SETEN, SETET, SE...
18645
367
    SStream_concat0(O, "]!, ");
18646
367
    printOperand(MI, 3, O);
18647
367
    SStream_concat0(O, "!, ");
18648
367
    printOperand(MI, 4, O);
18649
367
    return;
18650
0
    break;
18651
843
  case 70:
18652
    // MOVAZ_2ZMI_H_B, MOVAZ_2ZMI_H_D, MOVAZ_2ZMI_H_H, MOVAZ_2ZMI_H_S, MOVAZ_...
18653
843
    printMatrixTileVector_0(MI, 2, O);
18654
843
    SStream_concat1(O, '[');
18655
843
    printOperand(MI, 3, O);
18656
843
    SStream_concat0(O, ", ");
18657
843
    break;
18658
703
  case 71:
18659
    // MOVAZ_2ZMI_V_B, MOVAZ_2ZMI_V_D, MOVAZ_2ZMI_V_H, MOVAZ_2ZMI_V_S, MOVAZ_...
18660
703
    printMatrixTileVector_1(MI, 2, O);
18661
703
    SStream_concat1(O, '[');
18662
703
    printOperand(MI, 3, O);
18663
703
    SStream_concat0(O, ", ");
18664
703
    break;
18665
13
  case 72:
18666
    // MOVAZ_VG2_2ZM, MOVAZ_VG4_4ZM
18667
13
    printMatrix_64(MI, 2, O);
18668
13
    SStream_concat1(O, '[');
18669
13
    printOperand(MI, 3, O);
18670
13
    SStream_concat0(O, ", ");
18671
13
    printMatrixIndex_1(MI, 4, O);
18672
13
    break;
18673
79
  case 73:
18674
    // MOVAZ_ZMI_H_H, MOVAZ_ZMI_H_Q, MOVA_2ZMXI_H_B, MOVA_2ZMXI_H_D, MOVA_2ZM...
18675
79
    printMatrixTileVector_0(MI, 1, O);
18676
79
    SStream_concat1(O, '[');
18677
79
    break;
18678
14
  case 74:
18679
    // MOVAZ_ZMI_V_H, MOVAZ_ZMI_V_Q, MOVA_2ZMXI_V_B, MOVA_2ZMXI_V_D, MOVA_2ZM...
18680
14
    printMatrixTileVector_1(MI, 1, O);
18681
14
    SStream_concat1(O, '[');
18682
14
    break;
18683
0
  case 75:
18684
    // MOVA_VG2_2ZMXI, MOVA_VG4_4ZMXI
18685
0
    printMatrix_64(MI, 1, O);
18686
0
    SStream_concat1(O, '[');
18687
0
    printOperand(MI, 2, O);
18688
0
    SStream_concat0(O, ", ");
18689
0
    printMatrixIndex_1(MI, 3, O);
18690
0
    break;
18691
736
  case 76:
18692
    // MOVT, MOVT_TIX
18693
736
    SStream_concat1(O, '[');
18694
736
    break;
18695
173
  case 77:
18696
    // MSRR
18697
173
    printGPRSeqPairsClassOperand_64(MI, 1, O);
18698
173
    return;
18699
0
    break;
18700
32
  case 78:
18701
    // PMOV_ZIP_B, PMOV_ZIP_D, PMOV_ZIP_H, PMOV_ZIP_S
18702
32
    printVectorIndex_1(MI, 2, O);
18703
32
    SStream_concat0(O, ", ");
18704
32
    break;
18705
13
  case 79:
18706
    // PMULLB_ZZZ_Q, PMULLT_ZZZ_Q, UZP_VG2_2ZZZ_D, ZIP_VG2_2ZZZ_D
18707
13
    printSVERegOp_d(MI, 1, O);
18708
13
    SStream_concat0(O, ", ");
18709
13
    printSVERegOp_d(MI, 2, O);
18710
13
    return;
18711
0
    break;
18712
212
  case 80:
18713
    // PMULLv1i64, PMULLv2i64
18714
212
    SStream_concat0(O, ".1q, ");
18715
212
    printVRegOperand(MI, 1, O);
18716
212
    break;
18717
10
  case 81:
18718
    // PTRUES_H, PTRUE_H
18719
10
    printSVEPattern(MI, 1, O);
18720
10
    return;
18721
0
    break;
18722
382
  case 82:
18723
    // SADALPv2i32_v1i64, SADDLPv2i32_v1i64, UADALPv2i32_v1i64, UADDLPv2i32_v...
18724
382
    SStream_concat0(O, ".1d, ");
18725
382
    break;
18726
1.28k
  case 83:
18727
    // ST1i16, ST1i8, ST2i16, ST2i8, ST3i16, ST3i8, ST4i16, ST4i8
18728
1.28k
    printVectorIndex_1(MI, 1, O);
18729
1.28k
    SStream_concat0(O, ", [");
18730
1.28k
    printOperand(MI, 2, O);
18731
1.28k
    SStream_concat1(O, ']');
18732
1.28k
    return;
18733
0
    break;
18734
3.69k
  case 84:
18735
    // ST1i16_POST, ST1i32_POST, ST1i64_POST, ST1i8_POST, ST2i16_POST, ST2i32...
18736
3.69k
    SStream_concat0(O, "], ");
18737
3.69k
    break;
18738
0
  case 85:
18739
    // UZP_VG4_4Z4Z_Q, ZIP_VG4_4Z4Z_Q
18740
0
    printTypedVectorList_0_q(MI, 1, O);
18741
0
    return;
18742
0
    break;
18743
92
  case 86:
18744
    // ZERO_T
18745
92
    SStream_concat0(O, " }");
18746
92
    return;
18747
0
    break;
18748
292k
  }
18749
18750
18751
  // Fragment 2 encoded into 7 bits for 92 unique commands.
18752
261k
  switch ((Bits >> 28) & 127) {
18753
0
  default: assert(0 && "Invalid command number.");
18754
79.0k
  case 0:
18755
    // ABSWr, ABSXr, ABSv1i64, ADCSWr, ADCSXr, ADCWr, ADCXr, ADDG, ADDPL_XXI,...
18756
79.0k
    printOperand(MI, 1, O);
18757
79.0k
    break;
18758
349
  case 1:
18759
    // ABS_ZPmZ_B, ABS_ZPmZ_D, ABS_ZPmZ_S, BRKA_PPmP, BRKB_PPmP, CLS_ZPmZ_B, ...
18760
349
    printSVERegOp_0(MI, 2, O);
18761
349
    SStream_concat0(O, "/m, ");
18762
349
    break;
18763
33
  case 2:
18764
    // ABS_ZPmZ_H, CLS_ZPmZ_H, CLZ_ZPmZ_H, CNOT_ZPmZ_H, CNT_ZPmZ_H, FABS_ZPmZ...
18765
33
    printSVERegOp_h(MI, 3, O);
18766
33
    return;
18767
0
    break;
18768
13.0k
  case 3:
18769
    // ABSv16i8, ABSv2i32, ABSv2i64, ABSv4i16, ABSv4i32, ABSv8i16, ABSv8i8, A...
18770
13.0k
    printVRegOperand(MI, 1, O);
18771
13.0k
    break;
18772
946
  case 4:
18773
    // ADCLB_ZZZ_D, ADCLT_ZZZ_D, ADDHNT_ZZZ_S, CMLA_ZZZ_D, DECP_ZP_D, EORBT_Z...
18774
946
    printSVERegOp_d(MI, 2, O);
18775
946
    break;
18776
1.29k
  case 5:
18777
    // ADCLB_ZZZ_S, ADCLT_ZZZ_S, CMLA_ZZZI_S, CMLA_ZZZ_S, DECP_ZP_S, EORBT_ZZ...
18778
1.29k
    printSVERegOp_s(MI, 2, O);
18779
1.29k
    break;
18780
4.61k
  case 6:
18781
    // ADDHA_MPPZ_D, ADDHA_MPPZ_S, ADDVA_MPPZ_D, ADDVA_MPPZ_S, ANDV_VPZ_D, AN...
18782
4.61k
    return;
18783
0
    break;
18784
618
  case 7:
18785
    // ADDHNB_ZZZ_B, DECP_XP_H, INCP_XP_H, RADDHNB_ZZZ_B, RSHRNB_ZZI_B, RSUBH...
18786
618
    printSVERegOp_h(MI, 1, O);
18787
618
    break;
18788
16.4k
  case 8:
18789
    // ADDHNB_ZZZ_H, ADDHNT_ZZZ_H, ADD_VG2_2ZZ_B, ADD_VG2_2ZZ_D, ADD_VG2_2ZZ_...
18790
16.4k
    SStream_concat0(O, ", ");
18791
16.4k
    break;
18792
3.98k
  case 9:
18793
    // ADDHNB_ZZZ_S, ADD_ZI_D, ADD_ZZZ_CPA, ADD_ZZZ_D, ADR_LSL_ZZZ_D_0, ADR_L...
18794
3.98k
    printSVERegOp_d(MI, 1, O);
18795
3.98k
    break;
18796
527
  case 10:
18797
    // ADDHNT_ZZZ_B, BFDOT_ZZI, BFDOT_ZZZ, BFMLALB_ZZZ, BFMLALB_ZZZI, BFMLALT...
18798
527
    printSVERegOp_h(MI, 2, O);
18799
527
    break;
18800
9.13k
  case 11:
18801
    // ADDHNv2i64_v4i32, ADDHNv4i32_v8i16, ADDHNv8i16_v16i8, AESDrr, AESErr, ...
18802
9.13k
    printVRegOperand(MI, 2, O);
18803
9.13k
    break;
18804
40.5k
  case 12:
18805
    // ADDP_ZPmZ_B, ADDP_ZPmZ_D, ADDP_ZPmZ_S, ADDQV_VPZ_B, ADDQV_VPZ_D, ADDQV...
18806
40.5k
    printSVERegOp_0(MI, 1, O);
18807
40.5k
    break;
18808
2.97k
  case 13:
18809
    // ADDP_ZPmZ_H, ADD_ZPmZ_H, AND_ZPmZ_H, ASRD_ZPmI_H, ASRR_ZPmZ_H, ASR_WID...
18810
2.97k
    SStream_concat0(O, "/m, ");
18811
2.97k
    break;
18812
2.72k
  case 14:
18813
    // ADD_VG2_M2Z2Z_D, ADD_VG2_M2Z2Z_S, ADD_VG2_M2ZZ_D, ADD_VG2_M2ZZ_S, ADD_...
18814
2.72k
    SStream_concat0(O, ", vgx2], ");
18815
2.72k
    break;
18816
2.71k
  case 15:
18817
    // ADD_VG4_M4Z4Z_D, ADD_VG4_M4Z4Z_S, ADD_VG4_M4ZZ_D, ADD_VG4_M4ZZ_S, ADD_...
18818
2.71k
    SStream_concat0(O, ", vgx4], ");
18819
2.71k
    break;
18820
1.33k
  case 16:
18821
    // ADD_ZI_B, ADD_ZZZ_B, AESD_ZZZ_B, AESE_ZZZ_B, AESIMC_ZZ_B, AESMC_ZZ_B, ...
18822
1.33k
    printSVERegOp_b(MI, 1, O);
18823
1.33k
    break;
18824
2.59k
  case 17:
18825
    // ADD_ZI_S, ADD_ZZZ_S, ADR_LSL_ZZZ_S_0, ADR_LSL_ZZZ_S_1, ADR_LSL_ZZZ_S_2...
18826
2.59k
    printSVERegOp_s(MI, 1, O);
18827
2.59k
    break;
18828
7.58k
  case 18:
18829
    // ADR, ADRP
18830
7.58k
    printAdrAdrpLabel(MI, Address, 1, O);
18831
7.58k
    return;
18832
0
    break;
18833
17.4k
  case 19:
18834
    // AUTDA, AUTDB, AUTIA, AUTIB, BFMWri, BFMXri, CASAB, CASAH, CASALB, CASA...
18835
17.4k
    printOperand(MI, 2, O);
18836
17.4k
    break;
18837
35
  case 20:
18838
    // BFCVTNT_ZPmZ, BFCVT_ZPmZ, FCVTNT_ZPmZ_StoH, FCVT_ZPmZ_StoH, PMOV_ZIP_S...
18839
35
    printSVERegOp_s(MI, 3, O);
18840
35
    return;
18841
0
    break;
18842
27
  case 21:
18843
    // BFCVTN_Z2Z_HtoB, BFCVT_Z2Z_HtoB, FCVTN_Z2Z_HtoB, FCVT_Z2Z_HtoB
18844
27
    printTypedVectorList_0_h(MI, 1, O);
18845
27
    return;
18846
0
    break;
18847
1.81k
  case 22:
18848
    // BFMLAL_MZZI_HtoS, BFMLAL_MZZ_HtoS, BFMLSL_MZZI_HtoS, BFMLSL_MZZ_HtoS, ...
18849
1.81k
    SStream_concat0(O, "], ");
18850
1.81k
    break;
18851
576
  case 23:
18852
    // BICv2i32, BICv4i16, BICv4i32, BICv8i16, MOVKWi, MOVKXi, ORRv2i32, ORRv...
18853
576
    printImm(MI, 2, O);
18854
576
    printShifter(MI, 3, O);
18855
576
    return;
18856
0
    break;
18857
7.60k
  case 24:
18858
    // CBNZW, CBNZX, CBZW, CBZX, LDRDl, LDRQl, LDRSWl, LDRSl, LDRWl, LDRXl, P...
18859
7.60k
    printAlignedLabel(MI, Address, 1, O);
18860
7.60k
    return;
18861
0
    break;
18862
979
  case 25:
18863
    // CDOT_ZZZI_S, CDOT_ZZZ_S, CMLA_ZZZ_B, EORBT_ZZZ_B, EORTB_ZZZ_B, FDOT_ZZ...
18864
979
    printSVERegOp_b(MI, 2, O);
18865
979
    SStream_concat0(O, ", ");
18866
979
    break;
18867
1.67k
  case 26:
18868
    // CMPEQ_PPzZI_H, CMPEQ_PPzZZ_H, CMPEQ_WIDE_PPzZZ_H, CMPGE_PPzZI_H, CMPGE...
18869
1.67k
    SStream_concat0(O, "/z, ");
18870
1.67k
    break;
18871
20
  case 27:
18872
    // CNTB_XPiI, CNTD_XPiI, CNTH_XPiI, CNTW_XPiI, PTRUES_B, PTRUES_D, PTRUES...
18873
20
    printSVEPattern(MI, 1, O);
18874
20
    break;
18875
18
  case 28:
18876
    // CNTP_XCI_B
18877
18
    printPredicateAsCounter_8(MI, 1, O);
18878
18
    SStream_concat0(O, ", ");
18879
18
    printSVEVecLenSpecifier(MI, 2, O);
18880
18
    return;
18881
0
    break;
18882
122
  case 29:
18883
    // CNTP_XCI_D
18884
122
    printPredicateAsCounter_64(MI, 1, O);
18885
122
    SStream_concat0(O, ", ");
18886
122
    printSVEVecLenSpecifier(MI, 2, O);
18887
122
    return;
18888
0
    break;
18889
505
  case 30:
18890
    // CNTP_XCI_H
18891
505
    printPredicateAsCounter_16(MI, 1, O);
18892
505
    SStream_concat0(O, ", ");
18893
505
    printSVEVecLenSpecifier(MI, 2, O);
18894
505
    return;
18895
0
    break;
18896
152
  case 31:
18897
    // CNTP_XCI_S
18898
152
    printPredicateAsCounter_32(MI, 1, O);
18899
152
    SStream_concat0(O, ", ");
18900
152
    printSVEVecLenSpecifier(MI, 2, O);
18901
152
    return;
18902
0
    break;
18903
0
  case 32:
18904
    // CPY_ZPmI_H
18905
0
    printImm8OptLsl_int16_t(MI, 3, O);
18906
0
    return;
18907
0
    break;
18908
217
  case 33:
18909
    // CPY_ZPmR_H, CPY_ZPmV_H, INSvi16gpr, INSvi32gpr, INSvi64gpr, INSvi8gpr,...
18910
217
    printOperand(MI, 3, O);
18911
217
    break;
18912
268
  case 34:
18913
    // DECB_XPiI, DECD_XPiI, DECD_ZPiI, DECH_XPiI, DECW_XPiI, DECW_ZPiI, INCB...
18914
268
    printSVEPattern(MI, 2, O);
18915
268
    SStream_concat0(O, ", mul ");
18916
268
    printOperand(MI, 3, O);
18917
268
    return;
18918
0
    break;
18919
122
  case 35:
18920
    // DUPM_ZI
18921
122
    printLogicalImm_int64_t(MI, 1, O);
18922
122
    return;
18923
0
    break;
18924
3.45k
  case 36:
18925
    // DUPQ_ZZI_H, DUP_ZZI_H, DUP_ZZI_Q, PEXT_2PCI_B, PEXT_2PCI_D, PEXT_2PCI_...
18926
3.45k
    printVectorIndex_1(MI, 2, O);
18927
3.45k
    return;
18928
0
    break;
18929
0
  case 37:
18930
    // DUP_ZI_B
18931
0
    printImm8OptLsl_int8_t(MI, 1, O);
18932
0
    return;
18933
0
    break;
18934
0
  case 38:
18935
    // DUP_ZI_D
18936
0
    printImm8OptLsl_int64_t(MI, 1, O);
18937
0
    return;
18938
0
    break;
18939
0
  case 39:
18940
    // DUP_ZI_S
18941
0
    printImm8OptLsl_int32_t(MI, 1, O);
18942
0
    return;
18943
0
    break;
18944
0
  case 40:
18945
    // EXTRACT_ZPMXI_H_H, EXTRACT_ZPMXI_H_Q
18946
0
    printMatrixTileVector_0(MI, 3, O);
18947
0
    SStream_concat1(O, '[');
18948
0
    printOperand(MI, 4, O);
18949
0
    SStream_concat0(O, ", ");
18950
0
    printMatrixIndex_1(MI, 5, O);
18951
0
    SStream_concat1(O, ']');
18952
0
    return;
18953
0
    break;
18954
0
  case 41:
18955
    // EXTRACT_ZPMXI_V_H, EXTRACT_ZPMXI_V_Q
18956
0
    printMatrixTileVector_1(MI, 3, O);
18957
0
    SStream_concat1(O, '[');
18958
0
    printOperand(MI, 4, O);
18959
0
    SStream_concat0(O, ", ");
18960
0
    printMatrixIndex_1(MI, 5, O);
18961
0
    SStream_concat1(O, ']');
18962
0
    return;
18963
0
    break;
18964
334
  case 42:
18965
    // EXT_ZZI_B, LUTI2_ZZZI_B, LUTI4_ZZZI_B, TBLQ_ZZZ_B, TBL_ZZZZ_B, TBL_ZZZ...
18966
334
    printTypedVectorList_0_b(MI, 1, O);
18967
334
    SStream_concat0(O, ", ");
18968
334
    break;
18969
0
  case 43:
18970
    // FCPY_ZPmI_H
18971
0
    printFPImmOperand(MI, 3, O);
18972
0
    return;
18973
0
    break;
18974
351
  case 44:
18975
    // FCVTNB_Z2Z_StoB, FCVTNT_Z2Z_StoB, FCVTN_Z4Z_StoB_NAME, FCVT_Z4Z_StoB_N...
18976
351
    printTypedVectorList_0_s(MI, 1, O);
18977
351
    break;
18978
60
  case 45:
18979
    // FCVT_ZPmZ_DtoH, PMOV_ZIP_D, SCVTF_ZPmZ_DtoH, UCVTF_ZPmZ_DtoH
18980
60
    printSVERegOp_d(MI, 3, O);
18981
60
    return;
18982
0
    break;
18983
855
  case 46:
18984
    // FDUP_ZI_D, FDUP_ZI_S, FMOVDi, FMOVHi, FMOVSi, FMOVv2f32_ns, FMOVv2f64_...
18985
855
    printFPImmOperand(MI, 1, O);
18986
855
    return;
18987
0
    break;
18988
59
  case 47:
18989
    // FMLALL_VG2_M2ZZ_BtoS, SMLALL_VG2_M2ZZ_BtoS, SMLALL_VG2_M2ZZ_HtoD, SMLS...
18990
59
    SStream_concat0(O, ",  vgx2], ");
18991
59
    break;
18992
107
  case 48:
18993
    // FMLALL_VG4_M4ZZ_BtoS, SMLALL_VG4_M4ZZ_BtoS, SMLALL_VG4_M4ZZ_HtoD, SMLS...
18994
107
    SStream_concat0(O, ",  vgx4], ");
18995
107
    break;
18996
9.04k
  case 49:
18997
    // GLD1B_D_IMM_REAL, GLD1B_D_REAL, GLD1B_D_SXTW_REAL, GLD1B_D_UXTW_REAL, ...
18998
9.04k
    SStream_concat0(O, "/z, [");
18999
9.04k
    break;
19000
253
  case 50:
19001
    // INDEX_II_B, INDEX_IR_B
19002
253
    printSImm_8(MI, 1, O);
19003
253
    SStream_concat0(O, ", ");
19004
253
    break;
19005
40
  case 51:
19006
    // INDEX_II_H
19007
40
    printSImm_16(MI, 2, O);
19008
40
    return;
19009
0
    break;
19010
20
  case 52:
19011
    // INSR_ZV_B
19012
20
    printZPRasFPR_8(MI, 2, O);
19013
20
    return;
19014
0
    break;
19015
149
  case 53:
19016
    // INSR_ZV_D
19017
149
    printZPRasFPR_64(MI, 2, O);
19018
149
    return;
19019
0
    break;
19020
4
  case 54:
19021
    // INSR_ZV_S
19022
4
    printZPRasFPR_32(MI, 2, O);
19023
4
    return;
19024
0
    break;
19025
0
  case 55:
19026
    // INSvi16lane, INSvi32lane, INSvi64lane, INSvi8lane
19027
0
    printVRegOperand(MI, 3, O);
19028
0
    break;
19029
1.87k
  case 56:
19030
    // LD1B_2Z_STRIDED, LD1B_2Z_STRIDED_IMM, LD1H_2Z_STRIDED, LD1H_2Z_STRIDED...
19031
1.87k
    printPredicateAsCounter_0(MI, 1, O);
19032
1.87k
    break;
19033
774
  case 57:
19034
    // LDADDAB, LDADDAH, LDADDALB, LDADDALH, LDADDALW, LDADDALX, LDADDAW, LDA...
19035
774
    printOperand(MI, 0, O);
19036
774
    SStream_concat0(O, ", [");
19037
774
    printOperand(MI, 2, O);
19038
774
    SStream_concat1(O, ']');
19039
774
    return;
19040
0
    break;
19041
748
  case 58:
19042
    // LUT2v16f8, LUT4v16f8, TBLv16i8Four, TBLv16i8One, TBLv16i8Three, TBLv16...
19043
748
    printTypedVectorList_16_b(MI, 1, O);
19044
748
    SStream_concat0(O, ", ");
19045
748
    printVRegOperand(MI, 2, O);
19046
748
    break;
19047
164
  case 59:
19048
    // LUT2v8f16, LUT4v8f16
19049
164
    printTypedVectorList_8_h(MI, 1, O);
19050
164
    SStream_concat0(O, ", ");
19051
164
    printVRegOperand(MI, 2, O);
19052
164
    printVectorIndex_1(MI, 3, O);
19053
164
    return;
19054
0
    break;
19055
873
  case 60:
19056
    // MOVAZ_2ZMI_H_B, MOVAZ_2ZMI_H_D, MOVAZ_2ZMI_H_H, MOVAZ_2ZMI_H_S, MOVAZ_...
19057
873
    printImmRangeScale_2_1(MI, 4, O);
19058
873
    SStream_concat1(O, ']');
19059
873
    return;
19060
0
    break;
19061
673
  case 61:
19062
    // MOVAZ_4ZMI_H_B, MOVAZ_4ZMI_H_D, MOVAZ_4ZMI_H_H, MOVAZ_4ZMI_H_S, MOVAZ_...
19063
673
    printImmRangeScale_4_3(MI, 4, O);
19064
673
    SStream_concat1(O, ']');
19065
673
    return;
19066
0
    break;
19067
25
  case 62:
19068
    // MOVAZ_VG2_2ZM, MOVA_VG2_2ZMXI, ZERO_MXI_VG2_2Z, ZERO_MXI_VG2_4Z, ZERO_...
19069
25
    SStream_concat0(O, ", vgx2]");
19070
25
    return;
19071
0
    break;
19072
112
  case 63:
19073
    // MOVAZ_VG4_4ZM, MOVA_VG4_4ZMXI, ZERO_MXI_VG4_2Z, ZERO_MXI_VG4_4Z, ZERO_...
19074
112
    SStream_concat0(O, ", vgx4]");
19075
112
    return;
19076
0
    break;
19077
453
  case 64:
19078
    // MOVAZ_ZMI_H_B, MOVAZ_ZMI_H_D, MOVAZ_ZMI_H_S
19079
453
    printMatrixTileVector_0(MI, 1, O);
19080
453
    SStream_concat1(O, '[');
19081
453
    printOperand(MI, 3, O);
19082
453
    SStream_concat0(O, ", ");
19083
453
    printMatrixIndex_1(MI, 4, O);
19084
453
    SStream_concat1(O, ']');
19085
453
    return;
19086
0
    break;
19087
61
  case 65:
19088
    // MOVAZ_ZMI_V_B, MOVAZ_ZMI_V_D, MOVAZ_ZMI_V_S
19089
61
    printMatrixTileVector_1(MI, 1, O);
19090
61
    SStream_concat1(O, '[');
19091
61
    printOperand(MI, 3, O);
19092
61
    SStream_concat0(O, ", ");
19093
61
    printMatrixIndex_1(MI, 4, O);
19094
61
    SStream_concat1(O, ']');
19095
61
    return;
19096
0
    break;
19097
252
  case 66:
19098
    // MOVID, MOVIv2d_ns
19099
252
    printSIMDType10Operand(MI, 1, O);
19100
252
    return;
19101
0
    break;
19102
1.76k
  case 67:
19103
    // MOVIv16b_ns, MOVIv2i32, MOVIv2s_msl, MOVIv4i16, MOVIv4i32, MOVIv4s_msl...
19104
1.76k
    printImm(MI, 1, O);
19105
1.76k
    break;
19106
238
  case 68:
19107
    // MOVT
19108
238
    printMatrixIndex_1(MI, 1, O);
19109
238
    SStream_concat0(O, ", mul vl], ");
19110
238
    printSVERegOp_0(MI, 2, O);
19111
238
    return;
19112
0
    break;
19113
498
  case 69:
19114
    // MOVT_TIX
19115
498
    printMatrixIndex_8(MI, 1, O);
19116
498
    SStream_concat0(O, "], ");
19117
498
    printOperand(MI, 2, O);
19118
498
    return;
19119
0
    break;
19120
551
  case 70:
19121
    // MRS
19122
551
    printMRSSystemRegister(MI, 1, O);
19123
551
    return;
19124
0
    break;
19125
0
  case 71:
19126
    // PMOV_ZIP_B
19127
0
    printSVERegOp_b(MI, 3, O);
19128
0
    return;
19129
0
    break;
19130
127
  case 72:
19131
    // PMULLv1i64
19132
127
    SStream_concat0(O, ".1d, ");
19133
127
    printVRegOperand(MI, 2, O);
19134
127
    SStream_concat0(O, ".1d");
19135
127
    return;
19136
0
    break;
19137
85
  case 73:
19138
    // PMULLv2i64
19139
85
    SStream_concat0(O, ".2d, ");
19140
85
    printVRegOperand(MI, 2, O);
19141
85
    SStream_concat0(O, ".2d");
19142
85
    return;
19143
0
    break;
19144
16
  case 74:
19145
    // REVD_ZPmZ
19146
16
    printSVERegOp_q(MI, 3, O);
19147
16
    return;
19148
0
    break;
19149
5.58k
  case 75:
19150
    // SQDECB_XPiWdI, SQDECD_XPiWdI, SQDECH_XPiWdI, SQDECW_XPiWdI, SQINCB_XPi...
19151
5.58k
    printGPR64as32(MI, 1, O);
19152
5.58k
    SStream_concat0(O, ", ");
19153
5.58k
    printSVEPattern(MI, 2, O);
19154
5.58k
    SStream_concat0(O, ", mul ");
19155
5.58k
    printOperand(MI, 3, O);
19156
5.58k
    return;
19157
0
    break;
19158
3.99k
  case 76:
19159
    // SST1B_D, SST1B_D_IMM, SST1B_D_SXTW, SST1B_D_UXTW, SST1B_S_IMM, SST1B_S...
19160
3.99k
    SStream_concat0(O, ", [");
19161
3.99k
    break;
19162
333
  case 77:
19163
    // ST1i16_POST, ST2i8_POST
19164
333
    printPostIncOperand_2(MI, 4, O);
19165
333
    return;
19166
0
    break;
19167
466
  case 78:
19168
    // ST1i32_POST, ST2i16_POST, ST4i8_POST
19169
466
    printPostIncOperand_4(MI, 4, O);
19170
466
    return;
19171
0
    break;
19172
937
  case 79:
19173
    // ST1i64_POST, ST2i32_POST, ST4i16_POST
19174
937
    printPostIncOperand_8(MI, 4, O);
19175
937
    return;
19176
0
    break;
19177
225
  case 80:
19178
    // ST1i8_POST
19179
225
    printPostIncOperand_1(MI, 4, O);
19180
225
    return;
19181
0
    break;
19182
996
  case 81:
19183
    // ST2i64_POST, ST4i32_POST
19184
996
    printPostIncOperand_16(MI, 4, O);
19185
996
    return;
19186
0
    break;
19187
66
  case 82:
19188
    // ST3i16_POST
19189
66
    printPostIncOperand_6(MI, 4, O);
19190
66
    return;
19191
0
    break;
19192
45
  case 83:
19193
    // ST3i32_POST
19194
45
    printPostIncOperand_12(MI, 4, O);
19195
45
    return;
19196
0
    break;
19197
23
  case 84:
19198
    // ST3i64_POST
19199
23
    printPostIncOperand_24(MI, 4, O);
19200
23
    return;
19201
0
    break;
19202
73
  case 85:
19203
    // ST3i8_POST
19204
73
    printPostIncOperand_3(MI, 4, O);
19205
73
    return;
19206
0
    break;
19207
530
  case 86:
19208
    // ST4i64_POST
19209
530
    printPostIncOperand_32(MI, 4, O);
19210
530
    return;
19211
0
    break;
19212
21
  case 87:
19213
    // ST64BV, ST64BV0
19214
21
    printGPR64x8(MI, 1, O);
19215
21
    SStream_concat0(O, ", [");
19216
21
    printOperand(MI, 2, O);
19217
21
    SStream_concat1(O, ']');
19218
21
    return;
19219
0
    break;
19220
1.50k
  case 88:
19221
    // SYSPxt, SYSPxt_XZR, SYSxt
19222
1.50k
    printSysCROperand(MI, 1, O);
19223
1.50k
    SStream_concat0(O, ", ");
19224
1.50k
    printSysCROperand(MI, 2, O);
19225
1.50k
    SStream_concat0(O, ", ");
19226
1.50k
    printOperand(MI, 3, O);
19227
1.50k
    SStream_concat0(O, ", ");
19228
1.50k
    break;
19229
133
  case 89:
19230
    // TBLQ_ZZZ_D, TBL_ZZZZ_D, TBL_ZZZ_D
19231
133
    printTypedVectorList_0_d(MI, 1, O);
19232
133
    SStream_concat0(O, ", ");
19233
133
    printSVERegOp_d(MI, 2, O);
19234
133
    return;
19235
0
    break;
19236
317
  case 90:
19237
    // TBXv16i8Four, TBXv16i8One, TBXv16i8Three, TBXv16i8Two, TBXv8i8Four, TB...
19238
317
    printTypedVectorList_16_b(MI, 2, O);
19239
317
    SStream_concat0(O, ", ");
19240
317
    printVRegOperand(MI, 3, O);
19241
317
    break;
19242
10
  case 91:
19243
    // ZERO_MXI_2Z, ZERO_MXI_4Z
19244
10
    SStream_concat1(O, ']');
19245
10
    return;
19246
0
    break;
19247
261k
  }
19248
19249
19250
  // Fragment 3 encoded into 7 bits for 128 unique commands.
19251
220k
  switch ((Bits >> 35) & 127) {
19252
0
  default: assert(0 && "Invalid command number.");
19253
4.10k
  case 0:
19254
    // ABSWr, ABSXr, ABSv1i64, AESIMC_ZZ_B, AESMC_ZZ_B, AUTDA, AUTDB, AUTIA, ...
19255
4.10k
    return;
19256
0
    break;
19257
1.60k
  case 1:
19258
    // ABS_ZPmZ_B, BRKA_PPmP, BRKB_PPmP, CDOT_ZZZI_S, CDOT_ZZZ_S, CLS_ZPmZ_B,...
19259
1.60k
    printSVERegOp_b(MI, 3, O);
19260
1.60k
    break;
19261
104
  case 2:
19262
    // ABS_ZPmZ_D, CLS_ZPmZ_D, CLZ_ZPmZ_D, CNOT_ZPmZ_D, CNT_ZPmZ_D, FABS_ZPmZ...
19263
104
    printSVERegOp_d(MI, 3, O);
19264
104
    return;
19265
0
    break;
19266
440
  case 3:
19267
    // ABS_ZPmZ_S, ADDHNT_ZZZ_H, CLS_ZPmZ_S, CLZ_ZPmZ_S, CNOT_ZPmZ_S, CNT_ZPm...
19268
440
    printSVERegOp_s(MI, 3, O);
19269
440
    return;
19270
0
    break;
19271
557
  case 4:
19272
    // ABSv16i8, ADDVv16i8v, AESDrr, AESErr, AESIMCrr, AESMCrr, BF1CVTL2v8f16...
19273
557
    SStream_concat0(O, ".16b");
19274
557
    return;
19275
0
    break;
19276
469
  case 5:
19277
    // ABSv2i32, CLSv2i32, CLZv2i32, FABSv2f32, FADDPv2i32p, FCVTASv2f32, FCV...
19278
469
    SStream_concat0(O, ".2s");
19279
469
    return;
19280
0
    break;
19281
103
  case 6:
19282
    // ABSv2i64, ADDPv2i64p, FABSv2f64, FADDPv2i64p, FCVTASv2f64, FCVTAUv2f64...
19283
103
    SStream_concat0(O, ".2d");
19284
103
    return;
19285
0
    break;
19286
16
  case 7:
19287
    // ABSv4i16, ADDVv4i16v, CLSv4i16, CLZv4i16, FABSv4f16, FCVTASv4f16, FCVT...
19288
16
    SStream_concat0(O, ".4h");
19289
16
    return;
19290
0
    break;
19291
87
  case 8:
19292
    // ABSv4i32, ADDVv4i32v, BFCVTN, BFCVTN2, CLSv4i32, CLZv4i32, FABSv4f32, ...
19293
87
    SStream_concat0(O, ".4s");
19294
87
    return;
19295
0
    break;
19296
27
  case 9:
19297
    // ABSv8i16, ADDVv8i16v, CLSv8i16, CLZv8i16, FABSv8f16, FCVTASv8f16, FCVT...
19298
27
    SStream_concat0(O, ".8h");
19299
27
    return;
19300
0
    break;
19301
536
  case 10:
19302
    // ABSv8i8, ADDVv8i8v, BF1CVTLv8f16, BF2CVTLv8f16, CLSv8i8, CLZv8i8, CNTv...
19303
536
    SStream_concat0(O, ".8b");
19304
536
    return;
19305
0
    break;
19306
67.0k
  case 11:
19307
    // ADCLB_ZZZ_D, ADCLB_ZZZ_S, ADCLT_ZZZ_D, ADCLT_ZZZ_S, ADCSWr, ADCSXr, AD...
19308
67.0k
    SStream_concat0(O, ", ");
19309
67.0k
    break;
19310
1.14k
  case 12:
19311
    // ADDHNB_ZZZ_H, ADD_VG2_2ZZ_S, ADD_VG4_4ZZ_S, FMAXNM_VG2_2ZZ_S, FMAXNM_V...
19312
1.14k
    printSVERegOp_s(MI, 2, O);
19313
1.14k
    break;
19314
1.99k
  case 13:
19315
    // ADDHNv2i64_v2i32, ADDHNv2i64_v4i32, ADDPv2i64, ADDv2i64, CMEQv2i64, CM...
19316
1.99k
    SStream_concat0(O, ".2d, ");
19317
1.99k
    break;
19318
3.60k
  case 14:
19319
    // ADDHNv4i32_v4i16, ADDHNv4i32_v8i16, ADDPv4i32, ADDv4i32, CMEQv4i32, CM...
19320
3.60k
    SStream_concat0(O, ".4s, ");
19321
3.60k
    break;
19322
3.62k
  case 15:
19323
    // ADDHNv8i16_v16i8, ADDHNv8i16_v8i8, ADDPv8i16, ADDv8i16, BF16DOTlanev8b...
19324
3.62k
    SStream_concat0(O, ".8h, ");
19325
3.62k
    break;
19326
29.7k
  case 16:
19327
    // ADDP_ZPmZ_B, ADDP_ZPmZ_D, ADDP_ZPmZ_S, ADD_ZPmZ_B, ADD_ZPmZ_CPA, ADD_Z...
19328
29.7k
    SStream_concat0(O, "/m, ");
19329
29.7k
    break;
19330
3.86k
  case 17:
19331
    // ADDP_ZPmZ_H, ADD_VG2_2ZZ_H, ADD_VG4_4ZZ_H, ADD_ZPmZ_H, ADD_ZZZ_H, AND_...
19332
3.86k
    printSVERegOp_h(MI, 2, O);
19333
3.86k
    break;
19334
1.73k
  case 18:
19335
    // ADDPv16i8, ADDv16i8, ANDv16i8, BCAX, BICv16i8, BIFv16i8, BITv16i8, BSL...
19336
1.73k
    SStream_concat0(O, ".16b, ");
19337
1.73k
    break;
19338
1.27k
  case 19:
19339
    // ADDPv2i32, ADDv2i32, CMEQv2i32, CMGEv2i32, CMGTv2i32, CMHIv2i32, CMHSv...
19340
1.27k
    SStream_concat0(O, ".2s, ");
19341
1.27k
    break;
19342
1.99k
  case 20:
19343
    // ADDPv4i16, ADDv4i16, BF16DOTlanev4bf16, BFDOTv4bf16, CMEQv4i16, CMGEv4...
19344
1.99k
    SStream_concat0(O, ".4h, ");
19345
1.99k
    break;
19346
1.44k
  case 21:
19347
    // ADDPv8i8, ADDv8i8, ANDv8i8, BICv8i8, BIFv8i8, BITv8i8, BSLv8i8, CMEQv8...
19348
1.44k
    SStream_concat0(O, ".8b, ");
19349
1.44k
    break;
19350
1.12k
  case 22:
19351
    // ADD_VG2_2ZZ_B, ADD_VG4_4ZZ_B, PMULLB_ZZZ_H, PMULLT_ZZZ_H, SABDLB_ZZZ_H...
19352
1.12k
    printSVERegOp_b(MI, 2, O);
19353
1.12k
    return;
19354
0
    break;
19355
712
  case 23:
19356
    // ADD_VG2_2ZZ_D, ADD_VG4_4ZZ_D, ASR_WIDE_ZZZ_H, FMAXNM_VG2_2ZZ_D, FMAXNM...
19357
712
    printSVERegOp_d(MI, 2, O);
19358
712
    break;
19359
617
  case 24:
19360
    // ADD_VG2_M2Z2Z_D, ADD_VG2_M2ZZ_D, ADD_VG2_M2Z_D, ADD_VG4_M4Z4Z_D, ADD_V...
19361
617
    printTypedVectorList_0_d(MI, 4, O);
19362
617
    break;
19363
876
  case 25:
19364
    // ADD_VG2_M2Z2Z_S, ADD_VG2_M2ZZ_S, ADD_VG2_M2Z_S, ADD_VG4_M4Z4Z_S, ADD_V...
19365
876
    printTypedVectorList_0_s(MI, 4, O);
19366
876
    break;
19367
645
  case 26:
19368
    // ADD_ZI_H, SQADD_ZI_H, SQSUB_ZI_H, SUBR_ZI_H, SUB_ZI_H, UQADD_ZI_H, UQS...
19369
645
    printImm8OptLsl_uint16_t(MI, 2, O);
19370
645
    return;
19371
0
    break;
19372
7.67k
  case 27:
19373
    // ANDS_PPzPP, AND_PPzPP, BICS_PPzPP, BIC_PPzPP, BRKAS_PPzP, BRKA_PPzP, B...
19374
7.67k
    SStream_concat0(O, "/z, ");
19375
7.67k
    break;
19376
13.7k
  case 28:
19377
    // ASR_ZZI_H, GLD1B_D_REAL, GLD1B_D_SXTW_REAL, GLD1B_D_UXTW_REAL, GLD1B_S...
19378
13.7k
    printOperand(MI, 2, O);
19379
13.7k
    break;
19380
2.77k
  case 29:
19381
    // BFADD_VG2_M2Z_H, BFADD_VG4_M4Z_H, BFDOT_VG2_M2Z2Z_HtoS, BFDOT_VG2_M2ZZ...
19382
2.77k
    printTypedVectorList_0_h(MI, 4, O);
19383
2.77k
    break;
19384
2.06k
  case 30:
19385
    // BFCLAMP_VG2_2ZZZ_H, BFCLAMP_VG4_4ZZZ_H, BFCLAMP_ZZZ, BFMLA_ZPmZZ, BFML...
19386
2.06k
    printSVERegOp_h(MI, 3, O);
19387
2.06k
    break;
19388
72
  case 31:
19389
    // BFMAXNM_VG2_2Z2Z_H, BFMAXNM_VG4_4Z2Z_H, BFMAX_VG2_2Z2Z_H, BFMAX_VG4_4Z...
19390
72
    printTypedVectorList_0_h(MI, 2, O);
19391
72
    break;
19392
400
  case 32:
19393
    // BFMLAL_MZZI_HtoS, BFMLAL_MZZ_HtoS, BFMLSL_MZZI_HtoS, BFMLSL_MZZ_HtoS, ...
19394
400
    printSVERegOp_h(MI, 4, O);
19395
400
    SStream_concat0(O, ", ");
19396
400
    printSVERegOp_h(MI, 5, O);
19397
400
    break;
19398
418
  case 33:
19399
    // BMOPA_MPPZZ_S, BMOPS_MPPZZ_S, FMOPA_MPPZZ_S, FMOPS_MPPZZ_S
19400
418
    printSVERegOp_s(MI, 5, O);
19401
418
    return;
19402
0
    break;
19403
26.8k
  case 34:
19404
    // CASAB, CASAH, CASALB, CASALH, CASALW, CASALX, CASAW, CASAX, CASB, CASH...
19405
26.8k
    SStream_concat0(O, ", [");
19406
26.8k
    break;
19407
231
  case 35:
19408
    // CMEQv16i8rz, CMGEv16i8rz, CMGTv16i8rz, CMLEv16i8rz, CMLTv16i8rz
19409
231
    SStream_concat0(O, ".16b, #0");
19410
231
    return;
19411
0
    break;
19412
654
  case 36:
19413
    // CMEQv1i64rz, CMGEv1i64rz, CMGTv1i64rz, CMLEv1i64rz, CMLTv1i64rz
19414
654
    SStream_concat0(O, ", #0");
19415
654
    return;
19416
0
    break;
19417
108
  case 37:
19418
    // CMEQv2i32rz, CMGEv2i32rz, CMGTv2i32rz, CMLEv2i32rz, CMLTv2i32rz
19419
108
    SStream_concat0(O, ".2s, #0");
19420
108
    return;
19421
0
    break;
19422
827
  case 38:
19423
    // CMEQv2i64rz, CMGEv2i64rz, CMGTv2i64rz, CMLEv2i64rz, CMLTv2i64rz
19424
827
    SStream_concat0(O, ".2d, #0");
19425
827
    return;
19426
0
    break;
19427
95
  case 39:
19428
    // CMEQv4i16rz, CMGEv4i16rz, CMGTv4i16rz, CMLEv4i16rz, CMLTv4i16rz
19429
95
    SStream_concat0(O, ".4h, #0");
19430
95
    return;
19431
0
    break;
19432
317
  case 40:
19433
    // CMEQv4i32rz, CMGEv4i32rz, CMGTv4i32rz, CMLEv4i32rz, CMLTv4i32rz
19434
317
    SStream_concat0(O, ".4s, #0");
19435
317
    return;
19436
0
    break;
19437
181
  case 41:
19438
    // CMEQv8i16rz, CMGEv8i16rz, CMGTv8i16rz, CMLEv8i16rz, CMLTv8i16rz
19439
181
    SStream_concat0(O, ".8h, #0");
19440
181
    return;
19441
0
    break;
19442
219
  case 42:
19443
    // CMEQv8i8rz, CMGEv8i8rz, CMGTv8i8rz, CMLEv8i8rz, CMLTv8i8rz
19444
219
    SStream_concat0(O, ".8b, #0");
19445
219
    return;
19446
0
    break;
19447
20
  case 43:
19448
    // CNTB_XPiI, CNTD_XPiI, CNTH_XPiI, CNTW_XPiI
19449
20
    SStream_concat0(O, ", mul ");
19450
20
    printOperand(MI, 2, O);
19451
20
    return;
19452
0
    break;
19453
0
  case 44:
19454
    // CPY_ZPmI_B
19455
0
    printImm8OptLsl_int8_t(MI, 3, O);
19456
0
    return;
19457
0
    break;
19458
0
  case 45:
19459
    // CPY_ZPmI_D
19460
0
    printImm8OptLsl_int64_t(MI, 3, O);
19461
0
    return;
19462
0
    break;
19463
0
  case 46:
19464
    // CPY_ZPmI_S
19465
0
    printImm8OptLsl_int32_t(MI, 3, O);
19466
0
    return;
19467
0
    break;
19468
583
  case 47:
19469
    // CPY_ZPmR_B, CPY_ZPmR_D, CPY_ZPmR_S, CPY_ZPmV_B, CPY_ZPmV_D, CPY_ZPmV_S...
19470
583
    printOperand(MI, 3, O);
19471
583
    break;
19472
0
  case 48:
19473
    // CPY_ZPzI_H
19474
0
    printImm8OptLsl_int16_t(MI, 2, O);
19475
0
    return;
19476
0
    break;
19477
272
  case 49:
19478
    // DUPQ_ZZI_B, DUPQ_ZZI_D, DUPQ_ZZI_S, DUP_ZZI_B, DUP_ZZI_D, DUP_ZZI_S, P...
19479
272
    printVectorIndex_1(MI, 2, O);
19480
272
    return;
19481
0
    break;
19482
205
  case 50:
19483
    // DUPi16, DUPv4i16lane, DUPv8i16lane, INSvi16lane, SMOVvi16to32, SMOVvi1...
19484
205
    SStream_concat0(O, ".h");
19485
205
    break;
19486
368
  case 51:
19487
    // DUPi32, DUPv2i32lane, DUPv4i32lane, INSvi32lane, SMOVvi32to64, SMOVvi3...
19488
368
    SStream_concat0(O, ".s");
19489
368
    break;
19490
199
  case 52:
19491
    // DUPi64, DUPv2i64lane, FMOVDXHighr, INSvi64lane, UMOVvi64, UMOVvi64_idx...
19492
199
    SStream_concat0(O, ".d");
19493
199
    break;
19494
395
  case 53:
19495
    // DUPi8, DUPv16i8lane, DUPv8i8lane, INSvi8lane, SMOVvi8to32, SMOVvi8to32...
19496
395
    SStream_concat0(O, ".b");
19497
395
    break;
19498
0
  case 54:
19499
    // EXTRACT_ZPMXI_H_B, EXTRACT_ZPMXI_H_D, EXTRACT_ZPMXI_H_S
19500
0
    printMatrixTileVector_0(MI, 3, O);
19501
0
    SStream_concat1(O, '[');
19502
0
    printOperand(MI, 4, O);
19503
0
    SStream_concat0(O, ", ");
19504
0
    printMatrixIndex_1(MI, 5, O);
19505
0
    SStream_concat1(O, ']');
19506
0
    return;
19507
0
    break;
19508
0
  case 55:
19509
    // EXTRACT_ZPMXI_V_B, EXTRACT_ZPMXI_V_D, EXTRACT_ZPMXI_V_S
19510
0
    printMatrixTileVector_1(MI, 3, O);
19511
0
    SStream_concat1(O, '[');
19512
0
    printOperand(MI, 4, O);
19513
0
    SStream_concat0(O, ", ");
19514
0
    printMatrixIndex_1(MI, 5, O);
19515
0
    SStream_concat1(O, ']');
19516
0
    return;
19517
0
    break;
19518
264
  case 56:
19519
    // EXT_ZZI_B, UMAX_ZI_H, UMIN_ZI_H
19520
264
    printImm(MI, 2, O);
19521
264
    return;
19522
0
    break;
19523
69
  case 57:
19524
    // FADDPv2i16p, FMAXNMPv2i16p, FMAXPv2i16p, FMINNMPv2i16p, FMINPv2i16p
19525
69
    SStream_concat0(O, ".2h");
19526
69
    return;
19527
0
    break;
19528
13
  case 58:
19529
    // FAMAX_2Z2Z_D, FAMAX_4Z4Z_D, FAMIN_2Z2Z_D, FAMIN_4Z4Z_D, FMAXNM_VG2_2Z2...
19530
13
    printTypedVectorList_0_d(MI, 2, O);
19531
13
    break;
19532
1.72k
  case 59:
19533
    // FAMAX_2Z2Z_S, FAMAX_4Z4Z_S, FAMIN_2Z2Z_S, FAMIN_4Z4Z_S, FMAXNM_VG2_2Z2...
19534
1.72k
    printTypedVectorList_0_s(MI, 2, O);
19535
1.72k
    break;
19536
671
  case 60:
19537
    // FCMEQv1i16rz, FCMEQv1i32rz, FCMEQv1i64rz, FCMGEv1i16rz, FCMGEv1i32rz, ...
19538
671
    SStream_concat0(O, ", #0.0");
19539
671
    return;
19540
0
    break;
19541
894
  case 61:
19542
    // FCMEQv2i32rz, FCMGEv2i32rz, FCMGTv2i32rz, FCMLEv2i32rz, FCMLTv2i32rz
19543
894
    SStream_concat0(O, ".2s, #0.0");
19544
894
    return;
19545
0
    break;
19546
975
  case 62:
19547
    // FCMEQv2i64rz, FCMGEv2i64rz, FCMGTv2i64rz, FCMLEv2i64rz, FCMLTv2i64rz
19548
975
    SStream_concat0(O, ".2d, #0.0");
19549
975
    return;
19550
0
    break;
19551
185
  case 63:
19552
    // FCMEQv4i16rz, FCMGEv4i16rz, FCMGTv4i16rz, FCMLEv4i16rz, FCMLTv4i16rz
19553
185
    SStream_concat0(O, ".4h, #0.0");
19554
185
    return;
19555
0
    break;
19556
155
  case 64:
19557
    // FCMEQv4i32rz, FCMGEv4i32rz, FCMGTv4i32rz, FCMLEv4i32rz, FCMLTv4i32rz
19558
155
    SStream_concat0(O, ".4s, #0.0");
19559
155
    return;
19560
0
    break;
19561
122
  case 65:
19562
    // FCMEQv8i16rz, FCMGEv8i16rz, FCMGTv8i16rz, FCMLEv8i16rz, FCMLTv8i16rz
19563
122
    SStream_concat0(O, ".8h, #0.0");
19564
122
    return;
19565
0
    break;
19566
0
  case 66:
19567
    // FCPY_ZPmI_D, FCPY_ZPmI_S
19568
0
    printFPImmOperand(MI, 3, O);
19569
0
    return;
19570
0
    break;
19571
1.33k
  case 67:
19572
    // FDOT_VG2_M2Z2Z_BtoH, FDOT_VG2_M2Z2Z_BtoS, FDOT_VG2_M2ZZI_BtoH, FDOT_VG...
19573
1.33k
    printTypedVectorList_0_b(MI, 4, O);
19574
1.33k
    SStream_concat0(O, ", ");
19575
1.33k
    break;
19576
186
  case 68:
19577
    // FMLAL2lanev4f16, FMLAL2v4f16, FMLALlanev4f16, FMLALv4f16, FMLSL2lanev4...
19578
186
    SStream_concat0(O, ".2h, ");
19579
186
    printVRegOperand(MI, 3, O);
19580
186
    break;
19581
1.41k
  case 69:
19582
    // FMLALL_MZZI_BtoS, FMLALL_MZZ_BtoS, FMLAL_MZZI_BtoH, FMLAL_VG2_MZZ_BtoH...
19583
1.41k
    printSVERegOp_b(MI, 4, O);
19584
1.41k
    SStream_concat0(O, ", ");
19585
1.41k
    printSVERegOp_b(MI, 5, O);
19586
1.41k
    break;
19587
267
  case 70:
19588
    // FMOPA_MPPZZ_D, FMOPS_MPPZZ_D
19589
267
    printSVERegOp_d(MI, 5, O);
19590
267
    return;
19591
0
    break;
19592
238
  case 71:
19593
    // INDEX_II_B
19594
238
    printSImm_8(MI, 2, O);
19595
238
    return;
19596
0
    break;
19597
45
  case 72:
19598
    // INDEX_RI_H
19599
45
    printSImm_16(MI, 2, O);
19600
45
    return;
19601
0
    break;
19602
0
  case 73:
19603
    // INSERT_MXIPZ_H_B, INSERT_MXIPZ_H_D, INSERT_MXIPZ_H_H, INSERT_MXIPZ_H_Q...
19604
0
    printMatrixIndex_1(MI, 3, O);
19605
0
    SStream_concat0(O, "], ");
19606
0
    printSVERegOp_0(MI, 4, O);
19607
0
    SStream_concat0(O, "/m, ");
19608
0
    break;
19609
675
  case 74:
19610
    // LD1B_2Z_STRIDED, LD1B_2Z_STRIDED_IMM, LD1H_2Z_STRIDED, LD1H_2Z_STRIDED...
19611
675
    SStream_concat0(O, "/z, [");
19612
675
    printOperand(MI, 2, O);
19613
675
    SStream_concat0(O, ", ");
19614
675
    break;
19615
1.76k
  case 75:
19616
    // LD1_MXIPXX_H_B, LD1_MXIPXX_H_D, LD1_MXIPXX_H_H, LD1_MXIPXX_H_Q, LD1_MX...
19617
1.76k
    printMatrixIndex_1(MI, 2, O);
19618
1.76k
    SStream_concat0(O, "]}, ");
19619
1.76k
    printSVERegOp_0(MI, 3, O);
19620
1.76k
    break;
19621
9.03k
  case 76:
19622
    // LDAPRB, LDAPRH, LDAPRW, LDAPRX, LDARB, LDARH, LDARW, LDARX, LDAXRB, LD...
19623
9.03k
    SStream_concat1(O, ']');
19624
9.03k
    return;
19625
0
    break;
19626
147
  case 77:
19627
    // LDAPRWpost
19628
147
    SStream_concat0(O, "], #4");
19629
147
    return;
19630
0
    break;
19631
16
  case 78:
19632
    // LDAPRXpost
19633
16
    SStream_concat0(O, "], #8");
19634
16
    return;
19635
0
    break;
19636
3.68k
  case 79:
19637
    // LDRBBpost, LDRBpost, LDRDpost, LDRHHpost, LDRHpost, LDRQpost, LDRSBWpo...
19638
3.68k
    SStream_concat0(O, "], ");
19639
3.68k
    break;
19640
16
  case 80:
19641
    // LUT2v16f8, LUT4v16f8
19642
16
    printVectorIndex_1(MI, 3, O);
19643
16
    return;
19644
0
    break;
19645
498
  case 81:
19646
    // LUTI2_2ZTZI_B, LUTI2_2ZTZI_H, LUTI2_2ZTZI_S, LUTI2_4ZTZI_B, LUTI2_4ZTZ...
19647
498
    printSVERegOp_0(MI, 2, O);
19648
498
    printVectorIndex_1(MI, 3, O);
19649
498
    return;
19650
0
    break;
19651
122
  case 82:
19652
    // LUTI4_4ZZT2Z, LUTI4_S_4ZZT2Z
19653
122
    printTypedVectorList_0_0(MI, 2, O);
19654
122
    return;
19655
0
    break;
19656
0
  case 83:
19657
    // MOVA_MXI2Z_H_B, MOVA_MXI2Z_H_D, MOVA_MXI2Z_H_H, MOVA_MXI2Z_H_S, MOVA_M...
19658
0
    printImmRangeScale_2_1(MI, 3, O);
19659
0
    SStream_concat0(O, "], ");
19660
0
    break;
19661
0
  case 84:
19662
    // MOVA_MXI4Z_H_B, MOVA_MXI4Z_H_D, MOVA_MXI4Z_H_H, MOVA_MXI4Z_H_S, MOVA_M...
19663
0
    printImmRangeScale_4_3(MI, 3, O);
19664
0
    SStream_concat0(O, "], ");
19665
0
    break;
19666
1.76k
  case 85:
19667
    // MOVIv2i32, MOVIv2s_msl, MOVIv4i16, MOVIv4i32, MOVIv4s_msl, MOVIv8i16, ...
19668
1.76k
    printShifter(MI, 2, O);
19669
1.76k
    return;
19670
0
    break;
19671
38
  case 86:
19672
    // MOVT_XTI
19673
38
    SStream_concat1(O, '[');
19674
38
    printMatrixIndex_8(MI, 2, O);
19675
38
    SStream_concat1(O, ']');
19676
38
    return;
19677
0
    break;
19678
41
  case 87:
19679
    // PRFB_D_SCALED
19680
41
    printRegWithShiftExtend_0_8_x_d(MI, 3, O);
19681
41
    SStream_concat1(O, ']');
19682
41
    return;
19683
0
    break;
19684
505
  case 88:
19685
    // PRFB_D_SXTW_SCALED
19686
505
    printRegWithShiftExtend_1_8_w_d(MI, 3, O);
19687
505
    SStream_concat1(O, ']');
19688
505
    return;
19689
0
    break;
19690
22
  case 89:
19691
    // PRFB_D_UXTW_SCALED
19692
22
    printRegWithShiftExtend_0_8_w_d(MI, 3, O);
19693
22
    SStream_concat1(O, ']');
19694
22
    return;
19695
0
    break;
19696
36
  case 90:
19697
    // PRFB_PRR
19698
36
    printRegWithShiftExtend_0_8_x_0(MI, 3, O);
19699
36
    SStream_concat1(O, ']');
19700
36
    return;
19701
0
    break;
19702
18
  case 91:
19703
    // PRFB_S_SXTW_SCALED
19704
18
    printRegWithShiftExtend_1_8_w_s(MI, 3, O);
19705
18
    SStream_concat1(O, ']');
19706
18
    return;
19707
0
    break;
19708
35
  case 92:
19709
    // PRFB_S_UXTW_SCALED
19710
35
    printRegWithShiftExtend_0_8_w_s(MI, 3, O);
19711
35
    SStream_concat1(O, ']');
19712
35
    return;
19713
0
    break;
19714
42
  case 93:
19715
    // PRFD_D_PZI, PRFD_S_PZI
19716
42
    printImmScale_8(MI, 3, O);
19717
42
    SStream_concat1(O, ']');
19718
42
    return;
19719
0
    break;
19720
25
  case 94:
19721
    // PRFD_D_SCALED
19722
25
    printRegWithShiftExtend_0_64_x_d(MI, 3, O);
19723
25
    SStream_concat1(O, ']');
19724
25
    return;
19725
0
    break;
19726
132
  case 95:
19727
    // PRFD_D_SXTW_SCALED
19728
132
    printRegWithShiftExtend_1_64_w_d(MI, 3, O);
19729
132
    SStream_concat1(O, ']');
19730
132
    return;
19731
0
    break;
19732
45
  case 96:
19733
    // PRFD_D_UXTW_SCALED
19734
45
    printRegWithShiftExtend_0_64_w_d(MI, 3, O);
19735
45
    SStream_concat1(O, ']');
19736
45
    return;
19737
0
    break;
19738
75
  case 97:
19739
    // PRFD_PRR
19740
75
    printRegWithShiftExtend_0_64_x_0(MI, 3, O);
19741
75
    SStream_concat1(O, ']');
19742
75
    return;
19743
0
    break;
19744
146
  case 98:
19745
    // PRFD_S_SXTW_SCALED
19746
146
    printRegWithShiftExtend_1_64_w_s(MI, 3, O);
19747
146
    SStream_concat1(O, ']');
19748
146
    return;
19749
0
    break;
19750
36
  case 99:
19751
    // PRFD_S_UXTW_SCALED
19752
36
    printRegWithShiftExtend_0_64_w_s(MI, 3, O);
19753
36
    SStream_concat1(O, ']');
19754
36
    return;
19755
0
    break;
19756
28
  case 100:
19757
    // PRFH_D_PZI, PRFH_S_PZI
19758
28
    printImmScale_2(MI, 3, O);
19759
28
    SStream_concat1(O, ']');
19760
28
    return;
19761
0
    break;
19762
21
  case 101:
19763
    // PRFH_D_SCALED
19764
21
    printRegWithShiftExtend_0_16_x_d(MI, 3, O);
19765
21
    SStream_concat1(O, ']');
19766
21
    return;
19767
0
    break;
19768
166
  case 102:
19769
    // PRFH_D_SXTW_SCALED
19770
166
    printRegWithShiftExtend_1_16_w_d(MI, 3, O);
19771
166
    SStream_concat1(O, ']');
19772
166
    return;
19773
0
    break;
19774
118
  case 103:
19775
    // PRFH_D_UXTW_SCALED
19776
118
    printRegWithShiftExtend_0_16_w_d(MI, 3, O);
19777
118
    SStream_concat1(O, ']');
19778
118
    return;
19779
0
    break;
19780
61
  case 104:
19781
    // PRFH_PRR
19782
61
    printRegWithShiftExtend_0_16_x_0(MI, 3, O);
19783
61
    SStream_concat1(O, ']');
19784
61
    return;
19785
0
    break;
19786
81
  case 105:
19787
    // PRFH_S_SXTW_SCALED
19788
81
    printRegWithShiftExtend_1_16_w_s(MI, 3, O);
19789
81
    SStream_concat1(O, ']');
19790
81
    return;
19791
0
    break;
19792
619
  case 106:
19793
    // PRFH_S_UXTW_SCALED
19794
619
    printRegWithShiftExtend_0_16_w_s(MI, 3, O);
19795
619
    SStream_concat1(O, ']');
19796
619
    return;
19797
0
    break;
19798
169
  case 107:
19799
    // PRFW_D_PZI, PRFW_S_PZI
19800
169
    printImmScale_4(MI, 3, O);
19801
169
    SStream_concat1(O, ']');
19802
169
    return;
19803
0
    break;
19804
122
  case 108:
19805
    // PRFW_D_SCALED
19806
122
    printRegWithShiftExtend_0_32_x_d(MI, 3, O);
19807
122
    SStream_concat1(O, ']');
19808
122
    return;
19809
0
    break;
19810
73
  case 109:
19811
    // PRFW_D_SXTW_SCALED
19812
73
    printRegWithShiftExtend_1_32_w_d(MI, 3, O);
19813
73
    SStream_concat1(O, ']');
19814
73
    return;
19815
0
    break;
19816
927
  case 110:
19817
    // PRFW_D_UXTW_SCALED
19818
927
    printRegWithShiftExtend_0_32_w_d(MI, 3, O);
19819
927
    SStream_concat1(O, ']');
19820
927
    return;
19821
0
    break;
19822
283
  case 111:
19823
    // PRFW_PRR
19824
283
    printRegWithShiftExtend_0_32_x_0(MI, 3, O);
19825
283
    SStream_concat1(O, ']');
19826
283
    return;
19827
0
    break;
19828
305
  case 112:
19829
    // PRFW_S_SXTW_SCALED
19830
305
    printRegWithShiftExtend_1_32_w_s(MI, 3, O);
19831
305
    SStream_concat1(O, ']');
19832
305
    return;
19833
0
    break;
19834
35
  case 113:
19835
    // PRFW_S_UXTW_SCALED
19836
35
    printRegWithShiftExtend_0_32_w_s(MI, 3, O);
19837
35
    SStream_concat1(O, ']');
19838
35
    return;
19839
0
    break;
19840
12
  case 114:
19841
    // RDFFRS_PPz, RDFFR_PPz_REAL
19842
12
    SStream_concat0(O, "/z");
19843
12
    return;
19844
0
    break;
19845
98
  case 115:
19846
    // SEL_VG2_2ZC2Z2Z_B, SEL_VG4_4ZC4Z4Z_B, SMAX_VG2_2Z2Z_B, SMAX_VG4_4Z4Z_B...
19847
98
    printTypedVectorList_0_b(MI, 2, O);
19848
98
    break;
19849
11
  case 116:
19850
    // SHLLv16i8
19851
11
    SStream_concat0(O, ".16b, #8");
19852
11
    return;
19853
0
    break;
19854
12
  case 117:
19855
    // SHLLv2i32
19856
12
    SStream_concat0(O, ".2s, #32");
19857
12
    return;
19858
0
    break;
19859
37
  case 118:
19860
    // SHLLv4i16
19861
37
    SStream_concat0(O, ".4h, #16");
19862
37
    return;
19863
0
    break;
19864
3
  case 119:
19865
    // SHLLv4i32
19866
3
    SStream_concat0(O, ".4s, #32");
19867
3
    return;
19868
0
    break;
19869
3
  case 120:
19870
    // SHLLv8i16
19871
3
    SStream_concat0(O, ".8h, #16");
19872
3
    return;
19873
0
    break;
19874
31
  case 121:
19875
    // SHLLv8i8
19876
31
    SStream_concat0(O, ".8b, #8");
19877
31
    return;
19878
0
    break;
19879
106
  case 122:
19880
    // STLRWpre
19881
106
    SStream_concat0(O, ", #-4]!");
19882
106
    return;
19883
0
    break;
19884
7
  case 123:
19885
    // STLRXpre
19886
7
    SStream_concat0(O, ", #-8]!");
19887
7
    return;
19888
0
    break;
19889
405
  case 124:
19890
    // SYSPxt
19891
405
    printGPRSeqPairsClassOperand_64(MI, 4, O);
19892
405
    return;
19893
0
    break;
19894
0
  case 125:
19895
    // SYSPxt_XZR
19896
0
    printSyspXzrPair(MI, 4, O);
19897
0
    return;
19898
0
    break;
19899
1.10k
  case 126:
19900
    // SYSxt
19901
1.10k
    printOperand(MI, 4, O);
19902
1.10k
    return;
19903
0
    break;
19904
373
  case 127:
19905
    // TRN1_ZZZ_Q, TRN2_ZZZ_Q, UZP1_ZZZ_Q, UZP2_ZZZ_Q, UZP_VG2_2ZZZ_Q, ZIP1_Z...
19906
373
    printSVERegOp_q(MI, 2, O);
19907
373
    return;
19908
0
    break;
19909
220k
  }
19910
19911
19912
  // Fragment 4 encoded into 7 bits for 87 unique commands.
19913
187k
  switch ((Bits >> 42) & 127) {
19914
0
  default: assert(0 && "Invalid command number.");
19915
5.53k
  case 0:
19916
    // ABS_ZPmZ_B, ADDHNB_ZZZ_H, ADD_VG2_2ZZ_D, ADD_VG2_2ZZ_H, ADD_VG2_2ZZ_S,...
19917
5.53k
    return;
19918
0
    break;
19919
3.68k
  case 1:
19920
    // ADCLB_ZZZ_D, ADCLT_ZZZ_D, ADDHNT_ZZZ_S, CMLA_ZZZ_D, EORBT_ZZZ_D, EORTB...
19921
3.68k
    printSVERegOp_d(MI, 3, O);
19922
3.68k
    break;
19923
4.17k
  case 2:
19924
    // ADCLB_ZZZ_S, ADCLT_ZZZ_S, CMLA_ZZZI_S, CMLA_ZZZ_S, EORBT_ZZZ_S, EORTB_...
19925
4.17k
    printSVERegOp_s(MI, 3, O);
19926
4.17k
    break;
19927
39.3k
  case 3:
19928
    // ADCSWr, ADCSXr, ADCWr, ADCXr, ADDPL_XXI, ADDPT_shift, ADDSPL_XXI, ADDS...
19929
39.3k
    printOperand(MI, 2, O);
19930
39.3k
    break;
19931
662
  case 4:
19932
    // ADDG, ST2Gi, STGi, STZ2Gi, STZGi, SUBG
19933
662
    printImmScale_16(MI, 2, O);
19934
662
    break;
19935
1.09k
  case 5:
19936
    // ADDHNB_ZZZ_B, ADDQV_VPZ_H, ANDQV_VPZ_H, CNTP_XPP_H, EORQV_VPZ_H, FADDQ...
19937
1.09k
    printSVERegOp_h(MI, 2, O);
19938
1.09k
    break;
19939
12.6k
  case 6:
19940
    // ADDHNB_ZZZ_S, ADDP_ZPmZ_D, ADDQV_VPZ_D, ADD_ZPmZ_CPA, ADD_ZPmZ_D, ADD_...
19941
12.6k
    printSVERegOp_d(MI, 2, O);
19942
12.6k
    break;
19943
517
  case 7:
19944
    // ADDHNT_ZZZ_B, BFDOT_ZZI, BFDOT_ZZZ, BFMLALB_ZZZ, BFMLALB_ZZZI, BFMLALT...
19945
517
    printSVERegOp_h(MI, 3, O);
19946
517
    break;
19947
5.13k
  case 8:
19948
    // ADDHNv2i64_v2i32, ADDHNv4i32_v4i16, ADDHNv8i16_v8i8, ADDPv16i8, ADDPv2...
19949
5.13k
    printVRegOperand(MI, 2, O);
19950
5.13k
    break;
19951
8.38k
  case 9:
19952
    // ADDHNv2i64_v4i32, ADDHNv4i32_v8i16, ADDHNv8i16_v16i8, BF16DOTlanev4bf1...
19953
8.38k
    printVRegOperand(MI, 3, O);
19954
8.38k
    break;
19955
5.38k
  case 10:
19956
    // ADDP_ZPmZ_B, ADDQV_VPZ_B, ADD_ZPmZ_B, ADD_ZZZ_B, AESD_ZZZ_B, AESE_ZZZ_...
19957
5.38k
    printSVERegOp_b(MI, 2, O);
19958
5.38k
    break;
19959
23.1k
  case 11:
19960
    // ADDP_ZPmZ_H, ADD_VG2_M2Z2Z_D, ADD_VG2_M2Z2Z_S, ADD_VG2_M2ZZ_D, ADD_VG2...
19961
23.1k
    SStream_concat0(O, ", ");
19962
23.1k
    break;
19963
16.9k
  case 12:
19964
    // ADDP_ZPmZ_S, ADDQV_VPZ_S, ADD_ZPmZ_S, ADD_ZZZ_S, ANDQV_VPZ_S, AND_ZPmZ...
19965
16.9k
    printSVERegOp_s(MI, 2, O);
19966
16.9k
    break;
19967
4.05k
  case 13:
19968
    // ADDSWri, ADDSXri, ADDWri, ADDXri, SUBSWri, SUBSXri, SUBWri, SUBXri
19969
4.05k
    printAddSubImm(MI, 2, O);
19970
4.05k
    return;
19971
0
    break;
19972
9.61k
  case 14:
19973
    // ADDSWrs, ADDSXrs, ADDWrs, ADDXrs, ANDSWrs, ANDSXrs, ANDWrs, ANDXrs, BI...
19974
9.61k
    printShiftedRegister(MI, 2, O);
19975
9.61k
    return;
19976
0
    break;
19977
1.52k
  case 15:
19978
    // ADDSWrx, ADDSXrx, ADDWrx, ADDXrx, SUBSWrx, SUBSXrx, SUBWrx, SUBXrx
19979
1.52k
    printExtendedRegister(MI, 2, O);
19980
1.52k
    return;
19981
0
    break;
19982
56
  case 16:
19983
    // ADD_ZI_B, SQADD_ZI_B, SQSUB_ZI_B, SUBR_ZI_B, SUB_ZI_B, UQADD_ZI_B, UQS...
19984
56
    printImm8OptLsl_uint8_t(MI, 2, O);
19985
56
    return;
19986
0
    break;
19987
350
  case 17:
19988
    // ADD_ZI_D, SQADD_ZI_D, SQSUB_ZI_D, SUBR_ZI_D, SUB_ZI_D, UQADD_ZI_D, UQS...
19989
350
    printImm8OptLsl_uint64_t(MI, 2, O);
19990
350
    return;
19991
0
    break;
19992
408
  case 18:
19993
    // ADD_ZI_S, SQADD_ZI_S, SQSUB_ZI_S, SUBR_ZI_S, SUB_ZI_S, UQADD_ZI_S, UQS...
19994
408
    printImm8OptLsl_uint32_t(MI, 2, O);
19995
408
    return;
19996
0
    break;
19997
13
  case 19:
19998
    // ADR_LSL_ZZZ_D_0
19999
13
    printRegWithShiftExtend_0_8_x_d(MI, 2, O);
20000
13
    SStream_concat1(O, ']');
20001
13
    return;
20002
0
    break;
20003
50
  case 20:
20004
    // ADR_LSL_ZZZ_D_1
20005
50
    printRegWithShiftExtend_0_16_x_d(MI, 2, O);
20006
50
    SStream_concat1(O, ']');
20007
50
    return;
20008
0
    break;
20009
572
  case 21:
20010
    // ADR_LSL_ZZZ_D_2
20011
572
    printRegWithShiftExtend_0_32_x_d(MI, 2, O);
20012
572
    SStream_concat1(O, ']');
20013
572
    return;
20014
0
    break;
20015
48
  case 22:
20016
    // ADR_LSL_ZZZ_D_3
20017
48
    printRegWithShiftExtend_0_64_x_d(MI, 2, O);
20018
48
    SStream_concat1(O, ']');
20019
48
    return;
20020
0
    break;
20021
111
  case 23:
20022
    // ADR_LSL_ZZZ_S_0
20023
111
    printRegWithShiftExtend_0_8_x_s(MI, 2, O);
20024
111
    SStream_concat1(O, ']');
20025
111
    return;
20026
0
    break;
20027
59
  case 24:
20028
    // ADR_LSL_ZZZ_S_1
20029
59
    printRegWithShiftExtend_0_16_x_s(MI, 2, O);
20030
59
    SStream_concat1(O, ']');
20031
59
    return;
20032
0
    break;
20033
280
  case 25:
20034
    // ADR_LSL_ZZZ_S_2
20035
280
    printRegWithShiftExtend_0_32_x_s(MI, 2, O);
20036
280
    SStream_concat1(O, ']');
20037
280
    return;
20038
0
    break;
20039
20
  case 26:
20040
    // ADR_LSL_ZZZ_S_3
20041
20
    printRegWithShiftExtend_0_64_x_s(MI, 2, O);
20042
20
    SStream_concat1(O, ']');
20043
20
    return;
20044
0
    break;
20045
123
  case 27:
20046
    // ADR_SXTW_ZZZ_D_0
20047
123
    printRegWithShiftExtend_1_8_w_d(MI, 2, O);
20048
123
    SStream_concat1(O, ']');
20049
123
    return;
20050
0
    break;
20051
29
  case 28:
20052
    // ADR_SXTW_ZZZ_D_1
20053
29
    printRegWithShiftExtend_1_16_w_d(MI, 2, O);
20054
29
    SStream_concat1(O, ']');
20055
29
    return;
20056
0
    break;
20057
129
  case 29:
20058
    // ADR_SXTW_ZZZ_D_2
20059
129
    printRegWithShiftExtend_1_32_w_d(MI, 2, O);
20060
129
    SStream_concat1(O, ']');
20061
129
    return;
20062
0
    break;
20063
152
  case 30:
20064
    // ADR_SXTW_ZZZ_D_3
20065
152
    printRegWithShiftExtend_1_64_w_d(MI, 2, O);
20066
152
    SStream_concat1(O, ']');
20067
152
    return;
20068
0
    break;
20069
357
  case 31:
20070
    // ADR_UXTW_ZZZ_D_0
20071
357
    printRegWithShiftExtend_0_8_w_d(MI, 2, O);
20072
357
    SStream_concat1(O, ']');
20073
357
    return;
20074
0
    break;
20075
12
  case 32:
20076
    // ADR_UXTW_ZZZ_D_1
20077
12
    printRegWithShiftExtend_0_16_w_d(MI, 2, O);
20078
12
    SStream_concat1(O, ']');
20079
12
    return;
20080
0
    break;
20081
11
  case 33:
20082
    // ADR_UXTW_ZZZ_D_2
20083
11
    printRegWithShiftExtend_0_32_w_d(MI, 2, O);
20084
11
    SStream_concat1(O, ']');
20085
11
    return;
20086
0
    break;
20087
81
  case 34:
20088
    // ADR_UXTW_ZZZ_D_3
20089
81
    printRegWithShiftExtend_0_64_w_d(MI, 2, O);
20090
81
    SStream_concat1(O, ']');
20091
81
    return;
20092
0
    break;
20093
2.39k
  case 35:
20094
    // ANDSWri, ANDWri, EORWri, ORRWri
20095
2.39k
    printLogicalImm_int32_t(MI, 2, O);
20096
2.39k
    return;
20097
0
    break;
20098
1.90k
  case 36:
20099
    // ANDSXri, ANDXri, AND_ZI, EORXri, EOR_ZI, ORRXri, ORR_ZI
20100
1.90k
    printLogicalImm_int64_t(MI, 2, O);
20101
1.90k
    return;
20102
0
    break;
20103
1.75k
  case 37:
20104
    // BFMLAL_MZZI_HtoS, BFMLSL_MZZI_HtoS, FMLALL_MZZI_BtoS, FMLAL_MZZI_BtoH,...
20105
1.75k
    printVectorIndex_1(MI, 6, O);
20106
1.75k
    return;
20107
0
    break;
20108
253
  case 38:
20109
    // BFMLA_ZZZI, BFMLS_ZZZI, CDOT_ZZZI_S, CMLA_ZZZI_H, FCMLA_ZZZI_H, FDOT_Z...
20110
253
    printVectorIndex_1(MI, 4, O);
20111
253
    break;
20112
134
  case 39:
20113
    // BFMUL_ZZZI, FMUL_ZZZI_H, MUL_ZZZI_H, SQDMULH_ZZZI_H, SQRDMULH_ZZZI_H
20114
134
    printVectorIndex_1(MI, 3, O);
20115
134
    return;
20116
0
    break;
20117
17.3k
  case 40:
20118
    // BFMWri, BFMXri, CASAB, CASAH, CASALB, CASALH, CASALW, CASALX, CASAW, C...
20119
17.3k
    printOperand(MI, 3, O);
20120
17.3k
    break;
20121
0
  case 41:
20122
    // CPY_ZPzI_B
20123
0
    printImm8OptLsl_int8_t(MI, 2, O);
20124
0
    return;
20125
0
    break;
20126
0
  case 42:
20127
    // CPY_ZPzI_D
20128
0
    printImm8OptLsl_int64_t(MI, 2, O);
20129
0
    return;
20130
0
    break;
20131
0
  case 43:
20132
    // CPY_ZPzI_S
20133
0
    printImm8OptLsl_int32_t(MI, 2, O);
20134
0
    return;
20135
0
    break;
20136
1.16k
  case 44:
20137
    // DUPi16, DUPi32, DUPi64, DUPi8, DUPv16i8lane, DUPv2i32lane, DUPv2i64lan...
20138
1.16k
    printVectorIndex_1(MI, 2, O);
20139
1.16k
    return;
20140
0
    break;
20141
574
  case 45:
20142
    // FCMEQ_PPzZ0_H, FCMGE_PPzZ0_H, FCMGT_PPzZ0_H, FCMLE_PPzZ0_H, FCMLT_PPzZ...
20143
574
    SStream_concat0(O, ", #0.0");
20144
574
    return;
20145
0
    break;
20146
743
  case 46:
20147
    // FDOT_VG2_M2Z2Z_BtoH, FDOT_VG2_M2Z2Z_BtoS, FDOT_VG4_M4Z4Z_BtoH, FDOT_VG...
20148
743
    printTypedVectorList_0_b(MI, 5, O);
20149
743
    return;
20150
0
    break;
20151
589
  case 47:
20152
    // FDOT_VG2_M2ZZI_BtoH, FDOT_VG2_M2ZZI_BtoS, FDOT_VG2_M2ZZ_BtoH, FDOT_VG2...
20153
589
    printSVERegOp_b(MI, 5, O);
20154
589
    break;
20155
96
  case 48:
20156
    // FMLAL2lanev4f16, FMLALlanev4f16, FMLSL2lanev4f16, FMLSLlanev4f16
20157
96
    SStream_concat0(O, ".h");
20158
96
    printVectorIndex_1(MI, 4, O);
20159
96
    return;
20160
0
    break;
20161
90
  case 49:
20162
    // FMLAL2v4f16, FMLALv4f16, FMLSL2v4f16, FMLSLv4f16
20163
90
    SStream_concat0(O, ".2h");
20164
90
    return;
20165
0
    break;
20166
270
  case 50:
20167
    // INDEX_RI_B
20168
270
    printSImm_8(MI, 2, O);
20169
270
    return;
20170
0
    break;
20171
0
  case 51:
20172
    // INSERT_MXIPZ_H_D, INSERT_MXIPZ_V_D
20173
0
    printSVERegOp_d(MI, 5, O);
20174
0
    return;
20175
0
    break;
20176
0
  case 52:
20177
    // INSERT_MXIPZ_H_H, INSERT_MXIPZ_V_H
20178
0
    printSVERegOp_h(MI, 5, O);
20179
0
    return;
20180
0
    break;
20181
0
  case 53:
20182
    // INSERT_MXIPZ_H_Q, INSERT_MXIPZ_V_Q
20183
0
    printSVERegOp_q(MI, 5, O);
20184
0
    return;
20185
0
    break;
20186
0
  case 54:
20187
    // INSERT_MXIPZ_H_S, INSERT_MXIPZ_V_S
20188
0
    printSVERegOp_s(MI, 5, O);
20189
0
    return;
20190
0
    break;
20191
217
  case 55:
20192
    // LD1B_2Z_STRIDED, LDNT1B_2Z_STRIDED
20193
217
    printRegWithShiftExtend_0_8_x_0(MI, 3, O);
20194
217
    SStream_concat1(O, ']');
20195
217
    return;
20196
0
    break;
20197
245
  case 56:
20198
    // LD1B_2Z_STRIDED_IMM, LD1H_2Z_STRIDED_IMM, LDNT1B_2Z_STRIDED_IMM, LDNT1...
20199
245
    printImmScale_2(MI, 3, O);
20200
245
    SStream_concat0(O, ", mul vl]");
20201
245
    return;
20202
0
    break;
20203
213
  case 57:
20204
    // LD1H_2Z_STRIDED, LDNT1H_2Z_STRIDED
20205
213
    printRegWithShiftExtend_0_16_x_0(MI, 3, O);
20206
213
    SStream_concat1(O, ']');
20207
213
    return;
20208
0
    break;
20209
620
  case 58:
20210
    // LD1_MXIPXX_H_B, LD1_MXIPXX_H_D, LD1_MXIPXX_H_H, LD1_MXIPXX_H_Q, LD1_MX...
20211
620
    SStream_concat0(O, "/z, [");
20212
620
    printOperand(MI, 4, O);
20213
620
    SStream_concat0(O, ", ");
20214
620
    break;
20215
124
  case 59:
20216
    // LDCLRP, LDCLRPA, LDCLRPAL, LDCLRPL, LDSETP, LDSETPA, LDSETPAL, LDSETPL...
20217
124
    printOperand(MI, 4, O);
20218
124
    SStream_concat1(O, ']');
20219
124
    return;
20220
0
    break;
20221
353
  case 60:
20222
    // LDG, ST2GPostIndex, ST2GPreIndex, STGPostIndex, STGPreIndex, STZ2GPost...
20223
353
    printImmScale_16(MI, 3, O);
20224
353
    break;
20225
272
  case 61:
20226
    // LDRAAindexed, LDRABindexed
20227
272
    printImmScale_8(MI, 2, O);
20228
272
    SStream_concat1(O, ']');
20229
272
    return;
20230
0
    break;
20231
588
  case 62:
20232
    // LDRAAwriteback, LDRABwriteback
20233
588
    printImmScale_8(MI, 3, O);
20234
588
    SStream_concat0(O, "]!");
20235
588
    return;
20236
0
    break;
20237
1.42k
  case 63:
20238
    // LDRBBui, LDRBui, LDRSBWui, LDRSBXui, STRBBui, STRBui
20239
1.42k
    printUImm12Offset_1(MI, 2, O);
20240
1.42k
    SStream_concat1(O, ']');
20241
1.42k
    return;
20242
0
    break;
20243
1.98k
  case 64:
20244
    // LDRDui, LDRXui, PRFMui, STRDui, STRXui
20245
1.98k
    printUImm12Offset_8(MI, 2, O);
20246
1.98k
    SStream_concat1(O, ']');
20247
1.98k
    return;
20248
0
    break;
20249
1.59k
  case 65:
20250
    // LDRHHui, LDRHui, LDRSHWui, LDRSHXui, STRHHui, STRHui
20251
1.59k
    printUImm12Offset_2(MI, 2, O);
20252
1.59k
    SStream_concat1(O, ']');
20253
1.59k
    return;
20254
0
    break;
20255
382
  case 66:
20256
    // LDRQui, STRQui
20257
382
    printUImm12Offset_16(MI, 2, O);
20258
382
    SStream_concat1(O, ']');
20259
382
    return;
20260
0
    break;
20261
1.52k
  case 67:
20262
    // LDRSWui, LDRSui, LDRWui, STRSui, STRWui
20263
1.52k
    printUImm12Offset_4(MI, 2, O);
20264
1.52k
    SStream_concat1(O, ']');
20265
1.52k
    return;
20266
0
    break;
20267
145
  case 68:
20268
    // LUTI2_S_2ZTZI_B, LUTI2_S_2ZTZI_H, LUTI2_ZTZI_B, LUTI2_ZTZI_S, LUTI4_S_...
20269
145
    printSVERegOp_0(MI, 2, O);
20270
145
    printVectorIndex_1(MI, 3, O);
20271
145
    return;
20272
0
    break;
20273
487
  case 69:
20274
    // MAD_ZPmZZ_B, MLA_ZPmZZ_B, MLS_ZPmZZ_B, MSB_ZPmZZ_B
20275
487
    printSVERegOp_b(MI, 3, O);
20276
487
    SStream_concat0(O, ", ");
20277
487
    printSVERegOp_b(MI, 4, O);
20278
487
    return;
20279
0
    break;
20280
93
  case 70:
20281
    // MOVAZ_ZMI_H_H, MOVAZ_ZMI_H_Q, MOVAZ_ZMI_V_H, MOVAZ_ZMI_V_Q
20282
93
    printMatrixIndex_1(MI, 4, O);
20283
93
    SStream_concat1(O, ']');
20284
93
    return;
20285
0
    break;
20286
0
  case 71:
20287
    // MOVA_2ZMXI_H_B, MOVA_2ZMXI_H_D, MOVA_2ZMXI_H_H, MOVA_2ZMXI_H_S, MOVA_2...
20288
0
    printImmRangeScale_2_1(MI, 3, O);
20289
0
    SStream_concat1(O, ']');
20290
0
    return;
20291
0
    break;
20292
0
  case 72:
20293
    // MOVA_4ZMXI_H_B, MOVA_4ZMXI_H_D, MOVA_4ZMXI_H_H, MOVA_4ZMXI_H_S, MOVA_4...
20294
0
    printImmRangeScale_4_3(MI, 3, O);
20295
0
    SStream_concat1(O, ']');
20296
0
    return;
20297
0
    break;
20298
0
  case 73:
20299
    // MOVA_MXI2Z_H_B, MOVA_MXI2Z_V_B, MOVA_MXI4Z_H_B, MOVA_MXI4Z_V_B
20300
0
    printTypedVectorList_0_b(MI, 4, O);
20301
0
    return;
20302
0
    break;
20303
0
  case 74:
20304
    // MOVA_MXI2Z_H_D, MOVA_MXI2Z_V_D, MOVA_MXI4Z_H_D, MOVA_MXI4Z_V_D
20305
0
    printTypedVectorList_0_d(MI, 4, O);
20306
0
    return;
20307
0
    break;
20308
0
  case 75:
20309
    // MOVA_MXI2Z_H_H, MOVA_MXI2Z_V_H, MOVA_MXI4Z_H_H, MOVA_MXI4Z_V_H
20310
0
    printTypedVectorList_0_h(MI, 4, O);
20311
0
    return;
20312
0
    break;
20313
0
  case 76:
20314
    // MOVA_MXI2Z_H_S, MOVA_MXI2Z_V_S, MOVA_MXI4Z_H_S, MOVA_MXI4Z_V_S
20315
0
    printTypedVectorList_0_s(MI, 4, O);
20316
0
    return;
20317
0
    break;
20318
108
  case 77:
20319
    // PRFB_D_PZI, PRFB_S_PZI
20320
108
    SStream_concat1(O, ']');
20321
108
    return;
20322
0
    break;
20323
168
  case 78:
20324
    // PRFB_PRI, PRFD_PRI, PRFH_PRI, PRFW_PRI
20325
168
    SStream_concat0(O, ", mul vl]");
20326
168
    return;
20327
0
    break;
20328
24
  case 79:
20329
    // SPLICE_ZPZZ_B
20330
24
    printTypedVectorList_0_b(MI, 2, O);
20331
24
    return;
20332
0
    break;
20333
56
  case 80:
20334
    // SPLICE_ZPZZ_D
20335
56
    printTypedVectorList_0_d(MI, 2, O);
20336
56
    return;
20337
0
    break;
20338
11
  case 81:
20339
    // SPLICE_ZPZZ_S
20340
11
    printTypedVectorList_0_s(MI, 2, O);
20341
11
    return;
20342
0
    break;
20343
211
  case 82:
20344
    // SQDECP_XPWd_B, SQDECP_XPWd_D, SQDECP_XPWd_H, SQDECP_XPWd_S, SQINCP_XPW...
20345
211
    printGPR64as32(MI, 2, O);
20346
211
    return;
20347
0
    break;
20348
1.14k
  case 83:
20349
    // ST1_MXIPXX_H_B, ST1_MXIPXX_H_D, ST1_MXIPXX_H_H, ST1_MXIPXX_H_Q, ST1_MX...
20350
1.14k
    SStream_concat0(O, ", [");
20351
1.14k
    printOperand(MI, 4, O);
20352
1.14k
    SStream_concat0(O, ", ");
20353
1.14k
    break;
20354
72
  case 84:
20355
    // SYSLxt
20356
72
    printSysCROperand(MI, 2, O);
20357
72
    SStream_concat0(O, ", ");
20358
72
    printSysCROperand(MI, 3, O);
20359
72
    SStream_concat0(O, ", ");
20360
72
    printOperand(MI, 4, O);
20361
72
    return;
20362
0
    break;
20363
3.06k
  case 85:
20364
    // TBNZW, TBNZX, TBZW, TBZX
20365
3.06k
    printAlignedLabel(MI, Address, 2, O);
20366
3.06k
    return;
20367
0
    break;
20368
378
  case 86:
20369
    // UMAX_ZI_B, UMAX_ZI_D, UMAX_ZI_S, UMIN_ZI_B, UMIN_ZI_D, UMIN_ZI_S
20370
378
    printImm(MI, 2, O);
20371
378
    return;
20372
0
    break;
20373
187k
  }
20374
20375
20376
  // Fragment 5 encoded into 7 bits for 93 unique commands.
20377
141k
  switch ((Bits >> 49) & 127) {
20378
0
  default: assert(0 && "Invalid command number.");
20379
18.6k
  case 0:
20380
    // ADCLB_ZZZ_D, ADCLB_ZZZ_S, ADCLT_ZZZ_D, ADCLT_ZZZ_S, ADCSWr, ADCSXr, AD...
20381
18.6k
    return;
20382
0
    break;
20383
64.7k
  case 1:
20384
    // ADDG, ADDP_ZPmZ_B, ADDP_ZPmZ_D, ADDP_ZPmZ_S, ADD_ZPmZ_B, ADD_ZPmZ_CPA,...
20385
64.7k
    SStream_concat0(O, ", ");
20386
64.7k
    break;
20387
447
  case 2:
20388
    // ADDHNv2i64_v2i32, ADDHNv2i64_v4i32, ADDPv2i64, ADDv2i64, CMEQv2i64, CM...
20389
447
    SStream_concat0(O, ".2d");
20390
447
    return;
20391
0
    break;
20392
628
  case 3:
20393
    // ADDHNv4i32_v4i16, ADDHNv4i32_v8i16, ADDPv4i32, ADDv4i32, CMEQv4i32, CM...
20394
628
    SStream_concat0(O, ".4s");
20395
628
    return;
20396
0
    break;
20397
993
  case 4:
20398
    // ADDHNv8i16_v16i8, ADDHNv8i16_v8i8, ADDPv8i16, ADDv8i16, BFDOTv8bf16, B...
20399
993
    SStream_concat0(O, ".8h");
20400
993
    return;
20401
0
    break;
20402
11
  case 5:
20403
    // ADDPT_shift, SUBPT_shift
20404
11
    printShifter(MI, 3, O);
20405
11
    return;
20406
0
    break;
20407
802
  case 6:
20408
    // ADDP_ZPmZ_H, ADD_ZPmZ_H, AND_ZPmZ_H, ASRR_ZPmZ_H, ASR_ZPmZ_H, BFADD_ZP...
20409
802
    printSVERegOp_h(MI, 3, O);
20410
802
    break;
20411
777
  case 7:
20412
    // ADDPv16i8, ADDv16i8, ANDv16i8, BICv16i8, BIFv16i8, BITv16i8, BSLv16i8,...
20413
777
    SStream_concat0(O, ".16b");
20414
777
    return;
20415
0
    break;
20416
218
  case 8:
20417
    // ADDPv2i32, ADDv2i32, CMEQv2i32, CMGEv2i32, CMGTv2i32, CMHIv2i32, CMHSv...
20418
218
    SStream_concat0(O, ".2s");
20419
218
    return;
20420
0
    break;
20421
398
  case 9:
20422
    // ADDPv4i16, ADDv4i16, BFDOTv4bf16, CMEQv4i16, CMGEv4i16, CMGTv4i16, CMH...
20423
398
    SStream_concat0(O, ".4h");
20424
398
    return;
20425
0
    break;
20426
1.05k
  case 10:
20427
    // ADDPv8i8, ADDv8i8, ANDv8i8, BICv8i8, BIFv8i8, BITv8i8, BSLv8i8, CMEQv8...
20428
1.05k
    SStream_concat0(O, ".8b");
20429
1.05k
    return;
20430
0
    break;
20431
132
  case 11:
20432
    // ADDSXrx64, ADDXrx64, SUBSXrx64, SUBXrx64
20433
132
    printArithExtend(MI, 3, O);
20434
132
    return;
20435
0
    break;
20436
101
  case 12:
20437
    // ADD_VG2_M2Z2Z_D, ADD_VG4_M4Z4Z_D, FMLA_VG2_M2Z2Z_D, FMLA_VG4_M4Z4Z_D, ...
20438
101
    printTypedVectorList_0_d(MI, 5, O);
20439
101
    return;
20440
0
    break;
20441
23
  case 13:
20442
    // ADD_VG2_M2Z2Z_S, ADD_VG4_M4Z4Z_S, FMLA_VG2_M2Z2Z_S, FMLA_VG4_M4Z4Z_S, ...
20443
23
    printTypedVectorList_0_s(MI, 5, O);
20444
23
    return;
20445
0
    break;
20446
516
  case 14:
20447
    // ADD_VG2_M2ZZ_D, ADD_VG4_M4ZZ_D, FMLA_VG2_M2ZZI_D, FMLA_VG2_M2ZZ_D, FML...
20448
516
    printSVERegOp_d(MI, 5, O);
20449
516
    break;
20450
601
  case 15:
20451
    // ADD_VG2_M2ZZ_S, ADD_VG4_M4ZZ_S, FMLA_VG2_M2ZZI_S, FMLA_VG2_M2ZZ_S, FML...
20452
601
    printSVERegOp_s(MI, 5, O);
20453
601
    break;
20454
4.65k
  case 16:
20455
    // ASRD_ZPmI_H, ASR_ZPmI_H, CMPEQ_PPzZI_H, CMPGE_PPzZI_H, CMPGT_PPzZI_H, ...
20456
4.65k
    printOperand(MI, 3, O);
20457
4.65k
    break;
20458
41
  case 17:
20459
    // ASR_WIDE_ZPmZ_H, CMPEQ_WIDE_PPzZZ_H, CMPGE_WIDE_PPzZZ_H, CMPGT_WIDE_PP...
20460
41
    printSVERegOp_d(MI, 3, O);
20461
41
    return;
20462
0
    break;
20463
301
  case 18:
20464
    // BCAX, EOR3, EXTv16i8
20465
301
    SStream_concat0(O, ".16b, ");
20466
301
    break;
20467
92
  case 19:
20468
    // BF16DOTlanev4bf16, BF16DOTlanev8bf16
20469
92
    SStream_concat0(O, ".2h");
20470
92
    printVectorIndex_1(MI, 4, O);
20471
92
    return;
20472
0
    break;
20473
354
  case 20:
20474
    // BFDOT_VG2_M2Z2Z_HtoS, BFDOT_VG4_M4Z4Z_HtoS, BFMLAL_VG2_M2Z2Z_HtoS, BFM...
20475
354
    printTypedVectorList_0_h(MI, 5, O);
20476
354
    return;
20477
0
    break;
20478
2.41k
  case 21:
20479
    // BFDOT_VG2_M2ZZI_HtoS, BFDOT_VG2_M2ZZ_HtoS, BFDOT_VG4_M4ZZI_HtoS, BFDOT...
20480
2.41k
    printSVERegOp_h(MI, 5, O);
20481
2.41k
    break;
20482
875
  case 22:
20483
    // BFDOT_ZZI, BFMLALB_ZZZI, BFMLALT_ZZZI, BFMLSLB_ZZZI_S, BFMLSLT_ZZZI_S,...
20484
875
    printVectorIndex_1(MI, 4, O);
20485
875
    break;
20486
3.30k
  case 23:
20487
    // BFMLALBIdx, BFMLALTIdx, FCMLAv4f16_indexed, FCMLAv8f16_indexed, FMLAL2...
20488
3.30k
    SStream_concat0(O, ".h");
20489
3.30k
    break;
20490
1.56k
  case 24:
20491
    // BFMLA_ZPmZZ, BFMLS_ZPmZZ, FCMLA_ZPmZZ_H, FMAD_ZPmZZ_H, FMLA_ZPmZZ_H, F...
20492
1.56k
    printSVERegOp_h(MI, 4, O);
20493
1.56k
    break;
20494
6
  case 25:
20495
    // CADD_ZZI_H, SQCADD_ZZI_H
20496
6
    printComplexRotationOp_180_90(MI, 3, O);
20497
6
    return;
20498
0
    break;
20499
8.20k
  case 26:
20500
    // CASAB, CASAH, CASALB, CASALH, CASALW, CASALX, CASAW, CASAX, CASB, CASH...
20501
8.20k
    SStream_concat1(O, ']');
20502
8.20k
    return;
20503
0
    break;
20504
105
  case 27:
20505
    // CDOT_ZZZ_S, CMLA_ZZZ_B, CMLA_ZZZ_H, SQRDCMLAH_ZZZ_B, SQRDCMLAH_ZZZ_H
20506
105
    printComplexRotationOp_90_0(MI, 4, O);
20507
105
    return;
20508
0
    break;
20509
142
  case 28:
20510
    // CMPHI_PPzZI_H, CMPHS_PPzZI_H, CMPLO_PPzZI_H, CMPLS_PPzZI_H
20511
142
    printImm(MI, 3, O);
20512
142
    return;
20513
0
    break;
20514
110
  case 29:
20515
    // EXTv8i8
20516
110
    SStream_concat0(O, ".8b, ");
20517
110
    printOperand(MI, 3, O);
20518
110
    return;
20519
0
    break;
20520
26
  case 30:
20521
    // FADD_ZPmI_H, FSUBR_ZPmI_H, FSUB_ZPmI_H
20522
26
    printExactFPImm_AArch64ExactFPImm_half_AArch64ExactFPImm_one(MI, 3, O);
20523
26
    return;
20524
0
    break;
20525
11
  case 31:
20526
    // FCADDv2f32, FCMLAv2f32
20527
11
    SStream_concat0(O, ".2s, ");
20528
11
    break;
20529
642
  case 32:
20530
    // FCADDv2f64, FCMLAv2f64, XAR
20531
642
    SStream_concat0(O, ".2d, ");
20532
642
    break;
20533
48
  case 33:
20534
    // FCADDv4f16, FCMLAv4f16
20535
48
    SStream_concat0(O, ".4h, ");
20536
48
    break;
20537
86
  case 34:
20538
    // FCADDv4f32, FCMLAv4f32, SM3SS1
20539
86
    SStream_concat0(O, ".4s, ");
20540
86
    break;
20541
115
  case 35:
20542
    // FCADDv8f16, FCMLAv8f16
20543
115
    SStream_concat0(O, ".8h, ");
20544
115
    break;
20545
412
  case 36:
20546
    // FCMEQ_PPzZ0_D, FCMEQ_PPzZ0_S, FCMGE_PPzZ0_D, FCMGE_PPzZ0_S, FCMGT_PPzZ...
20547
412
    SStream_concat0(O, ", #0.0");
20548
412
    return;
20549
0
    break;
20550
3.49k
  case 37:
20551
    // FCMLAv4f32_indexed, FMLAv1i32_indexed, FMLAv2i32_indexed, FMLAv4i32_in...
20552
3.49k
    SStream_concat0(O, ".s");
20553
3.49k
    break;
20554
396
  case 38:
20555
    // FDOT_VG2_M2ZZI_BtoH, FDOT_VG2_M2ZZI_BtoS, FDOT_VG4_M4ZZI_BtoH, FDOT_VG...
20556
396
    printVectorIndex_1(MI, 6, O);
20557
396
    return;
20558
0
    break;
20559
227
  case 39:
20560
    // FDOTlanev16f8, FDOTlanev8f8, SDOTlanev16i8, SDOTlanev8i8, SUDOTlanev16...
20561
227
    SStream_concat0(O, ".4b");
20562
227
    printVectorIndex_1(MI, 4, O);
20563
227
    return;
20564
0
    break;
20565
156
  case 40:
20566
    // FDOTlanev4f16, FDOTlanev8f16
20567
156
    SStream_concat0(O, ".2b");
20568
156
    printVectorIndex_1(MI, 4, O);
20569
156
    return;
20570
0
    break;
20571
509
  case 41:
20572
    // FMAXNM_ZPmI_H, FMAX_ZPmI_H, FMINNM_ZPmI_H, FMIN_ZPmI_H
20573
509
    printExactFPImm_AArch64ExactFPImm_zero_AArch64ExactFPImm_one(MI, 3, O);
20574
509
    return;
20575
0
    break;
20576
230
  case 42:
20577
    // FMLALBlanev8f16, FMLALLBBlanev4f32, FMLALLBTlanev4f32, FMLALLTBlanev4f...
20578
230
    SStream_concat0(O, ".b");
20579
230
    printVectorIndex_1(MI, 4, O);
20580
230
    return;
20581
0
    break;
20582
187
  case 43:
20583
    // FMLAv1i64_indexed, FMLAv2i64_indexed, FMLSv1i64_indexed, FMLSv2i64_ind...
20584
187
    SStream_concat0(O, ".d");
20585
187
    break;
20586
28
  case 44:
20587
    // FMUL_ZPmI_H
20588
28
    printExactFPImm_AArch64ExactFPImm_half_AArch64ExactFPImm_two(MI, 3, O);
20589
28
    return;
20590
0
    break;
20591
331
  case 45:
20592
    // FMUL_ZZZI_D, FMUL_ZZZI_S, MUL_ZZZI_D, MUL_ZZZI_S, SMULLB_ZZZI_D, SMULL...
20593
331
    printVectorIndex_1(MI, 3, O);
20594
331
    return;
20595
0
    break;
20596
545
  case 46:
20597
    // GLD1B_D_REAL, GLD1D_REAL, GLD1H_D_REAL, GLD1SB_D_REAL, GLD1SH_D_REAL, ...
20598
545
    printRegWithShiftExtend_0_8_x_d(MI, 3, O);
20599
545
    SStream_concat1(O, ']');
20600
545
    return;
20601
0
    break;
20602
494
  case 47:
20603
    // GLD1B_D_SXTW_REAL, GLD1D_SXTW_REAL, GLD1H_D_SXTW_REAL, GLD1SB_D_SXTW_R...
20604
494
    printRegWithShiftExtend_1_8_w_d(MI, 3, O);
20605
494
    SStream_concat1(O, ']');
20606
494
    return;
20607
0
    break;
20608
696
  case 48:
20609
    // GLD1B_D_UXTW_REAL, GLD1D_UXTW_REAL, GLD1H_D_UXTW_REAL, GLD1SB_D_UXTW_R...
20610
696
    printRegWithShiftExtend_0_8_w_d(MI, 3, O);
20611
696
    SStream_concat1(O, ']');
20612
696
    return;
20613
0
    break;
20614
167
  case 49:
20615
    // GLD1B_S_SXTW_REAL, GLD1H_S_SXTW_REAL, GLD1SB_S_SXTW_REAL, GLD1SH_S_SXT...
20616
167
    printRegWithShiftExtend_1_8_w_s(MI, 3, O);
20617
167
    SStream_concat1(O, ']');
20618
167
    return;
20619
0
    break;
20620
385
  case 50:
20621
    // GLD1B_S_UXTW_REAL, GLD1H_S_UXTW_REAL, GLD1SB_S_UXTW_REAL, GLD1SH_S_UXT...
20622
385
    printRegWithShiftExtend_0_8_w_s(MI, 3, O);
20623
385
    SStream_concat1(O, ']');
20624
385
    return;
20625
0
    break;
20626
109
  case 51:
20627
    // GLD1D_IMM_REAL, GLDFF1D_IMM_REAL, LD1RD_IMM, SST1D_IMM
20628
109
    printImmScale_8(MI, 3, O);
20629
109
    SStream_concat1(O, ']');
20630
109
    return;
20631
0
    break;
20632
75
  case 52:
20633
    // GLD1D_SCALED_REAL, GLDFF1D_SCALED_REAL, SST1D_SCALED
20634
75
    printRegWithShiftExtend_0_64_x_d(MI, 3, O);
20635
75
    SStream_concat1(O, ']');
20636
75
    return;
20637
0
    break;
20638
13
  case 53:
20639
    // GLD1D_SXTW_SCALED_REAL, GLDFF1D_SXTW_SCALED_REAL, SST1D_SXTW_SCALED
20640
13
    printRegWithShiftExtend_1_64_w_d(MI, 3, O);
20641
13
    SStream_concat1(O, ']');
20642
13
    return;
20643
0
    break;
20644
12
  case 54:
20645
    // GLD1D_UXTW_SCALED_REAL, GLDFF1D_UXTW_SCALED_REAL, SST1D_UXTW_SCALED
20646
12
    printRegWithShiftExtend_0_64_w_d(MI, 3, O);
20647
12
    SStream_concat1(O, ']');
20648
12
    return;
20649
0
    break;
20650
963
  case 55:
20651
    // GLD1H_D_IMM_REAL, GLD1H_S_IMM_REAL, GLD1SH_D_IMM_REAL, GLD1SH_S_IMM_RE...
20652
963
    printImmScale_2(MI, 3, O);
20653
963
    break;
20654
47
  case 56:
20655
    // GLD1H_D_SCALED_REAL, GLD1SH_D_SCALED_REAL, GLDFF1H_D_SCALED_REAL, GLDF...
20656
47
    printRegWithShiftExtend_0_16_x_d(MI, 3, O);
20657
47
    SStream_concat1(O, ']');
20658
47
    return;
20659
0
    break;
20660
19
  case 57:
20661
    // GLD1H_D_SXTW_SCALED_REAL, GLD1SH_D_SXTW_SCALED_REAL, GLDFF1H_D_SXTW_SC...
20662
19
    printRegWithShiftExtend_1_16_w_d(MI, 3, O);
20663
19
    SStream_concat1(O, ']');
20664
19
    return;
20665
0
    break;
20666
37
  case 58:
20667
    // GLD1H_D_UXTW_SCALED_REAL, GLD1SH_D_UXTW_SCALED_REAL, GLDFF1H_D_UXTW_SC...
20668
37
    printRegWithShiftExtend_0_16_w_d(MI, 3, O);
20669
37
    SStream_concat1(O, ']');
20670
37
    return;
20671
0
    break;
20672
70
  case 59:
20673
    // GLD1H_S_SXTW_SCALED_REAL, GLD1SH_S_SXTW_SCALED_REAL, GLDFF1H_S_SXTW_SC...
20674
70
    printRegWithShiftExtend_1_16_w_s(MI, 3, O);
20675
70
    SStream_concat1(O, ']');
20676
70
    return;
20677
0
    break;
20678
130
  case 60:
20679
    // GLD1H_S_UXTW_SCALED_REAL, GLD1SH_S_UXTW_SCALED_REAL, GLDFF1H_S_UXTW_SC...
20680
130
    printRegWithShiftExtend_0_16_w_s(MI, 3, O);
20681
130
    SStream_concat1(O, ']');
20682
130
    return;
20683
0
    break;
20684
1.43k
  case 61:
20685
    // GLD1SW_D_IMM_REAL, GLD1W_D_IMM_REAL, GLD1W_IMM_REAL, GLDFF1SW_D_IMM_RE...
20686
1.43k
    printImmScale_4(MI, 3, O);
20687
1.43k
    break;
20688
60
  case 62:
20689
    // GLD1SW_D_SCALED_REAL, GLD1W_D_SCALED_REAL, GLDFF1SW_D_SCALED_REAL, GLD...
20690
60
    printRegWithShiftExtend_0_32_x_d(MI, 3, O);
20691
60
    SStream_concat1(O, ']');
20692
60
    return;
20693
0
    break;
20694
46
  case 63:
20695
    // GLD1SW_D_SXTW_SCALED_REAL, GLD1W_D_SXTW_SCALED_REAL, GLDFF1SW_D_SXTW_S...
20696
46
    printRegWithShiftExtend_1_32_w_d(MI, 3, O);
20697
46
    SStream_concat1(O, ']');
20698
46
    return;
20699
0
    break;
20700
32
  case 64:
20701
    // GLD1SW_D_UXTW_SCALED_REAL, GLD1W_D_UXTW_SCALED_REAL, GLDFF1SW_D_UXTW_S...
20702
32
    printRegWithShiftExtend_0_32_w_d(MI, 3, O);
20703
32
    SStream_concat1(O, ']');
20704
32
    return;
20705
0
    break;
20706
76
  case 65:
20707
    // GLD1W_SXTW_SCALED_REAL, GLDFF1W_SXTW_SCALED_REAL, SST1W_SXTW_SCALED
20708
76
    printRegWithShiftExtend_1_32_w_s(MI, 3, O);
20709
76
    SStream_concat1(O, ']');
20710
76
    return;
20711
0
    break;
20712
304
  case 66:
20713
    // GLD1W_UXTW_SCALED_REAL, GLDFF1W_UXTW_SCALED_REAL, SST1W_UXTW_SCALED
20714
304
    printRegWithShiftExtend_0_32_w_s(MI, 3, O);
20715
304
    SStream_concat1(O, ']');
20716
304
    return;
20717
0
    break;
20718
828
  case 67:
20719
    // LD1B, LD1B_2Z, LD1B_4Z, LD1B_4Z_STRIDED, LD1B_D, LD1B_H, LD1B_S, LD1RO...
20720
828
    printRegWithShiftExtend_0_8_x_0(MI, 3, O);
20721
828
    SStream_concat1(O, ']');
20722
828
    return;
20723
0
    break;
20724
327
  case 68:
20725
    // LD1D, LD1D_2Z, LD1D_2Z_STRIDED, LD1D_4Z, LD1D_4Z_STRIDED, LD1D_Q, LD1R...
20726
327
    printRegWithShiftExtend_0_64_x_0(MI, 3, O);
20727
327
    SStream_concat1(O, ']');
20728
327
    return;
20729
0
    break;
20730
479
  case 69:
20731
    // LD1H, LD1H_2Z, LD1H_4Z, LD1H_4Z_STRIDED, LD1H_D, LD1H_S, LD1RO_H, LD1R...
20732
479
    printRegWithShiftExtend_0_16_x_0(MI, 3, O);
20733
479
    SStream_concat1(O, ']');
20734
479
    return;
20735
0
    break;
20736
121
  case 70:
20737
    // LD1RO_B_IMM, LD1RO_D_IMM, LD1RO_H_IMM, LD1RO_W_IMM
20738
121
    printImmScale_32(MI, 3, O);
20739
121
    SStream_concat1(O, ']');
20740
121
    return;
20741
0
    break;
20742
737
  case 71:
20743
    // LD1RO_W, LD1RQ_W, LD1SW_D, LD1W, LD1W_2Z, LD1W_2Z_STRIDED, LD1W_4Z, LD...
20744
737
    printRegWithShiftExtend_0_32_x_0(MI, 3, O);
20745
737
    SStream_concat1(O, ']');
20746
737
    return;
20747
0
    break;
20748
91
  case 72:
20749
    // LD1RQ_B_IMM, LD1RQ_D_IMM, LD1RQ_H_IMM, LD1RQ_W_IMM
20750
91
    printImmScale_16(MI, 3, O);
20751
91
    SStream_concat1(O, ']');
20752
91
    return;
20753
0
    break;
20754
218
  case 73:
20755
    // LD1_MXIPXX_H_B, LD1_MXIPXX_V_B, ST1_MXIPXX_H_B, ST1_MXIPXX_V_B
20756
218
    printRegWithShiftExtend_0_8_x_0(MI, 5, O);
20757
218
    SStream_concat1(O, ']');
20758
218
    return;
20759
0
    break;
20760
925
  case 74:
20761
    // LD1_MXIPXX_H_D, LD1_MXIPXX_V_D, ST1_MXIPXX_H_D, ST1_MXIPXX_V_D
20762
925
    printRegWithShiftExtend_0_64_x_0(MI, 5, O);
20763
925
    SStream_concat1(O, ']');
20764
925
    return;
20765
0
    break;
20766
93
  case 75:
20767
    // LD1_MXIPXX_H_H, LD1_MXIPXX_V_H, ST1_MXIPXX_H_H, ST1_MXIPXX_V_H
20768
93
    printRegWithShiftExtend_0_16_x_0(MI, 5, O);
20769
93
    SStream_concat1(O, ']');
20770
93
    return;
20771
0
    break;
20772
230
  case 76:
20773
    // LD1_MXIPXX_H_Q, LD1_MXIPXX_V_Q, ST1_MXIPXX_H_Q, ST1_MXIPXX_V_Q
20774
230
    printRegWithShiftExtend_0_128_x_0(MI, 5, O);
20775
230
    SStream_concat1(O, ']');
20776
230
    return;
20777
0
    break;
20778
301
  case 77:
20779
    // LD1_MXIPXX_H_S, LD1_MXIPXX_V_S, ST1_MXIPXX_H_S, ST1_MXIPXX_V_S
20780
301
    printRegWithShiftExtend_0_32_x_0(MI, 5, O);
20781
301
    SStream_concat1(O, ']');
20782
301
    return;
20783
0
    break;
20784
863
  case 78:
20785
    // LD2Q, LD3Q, LD4Q, ST2Q, ST3Q, ST4Q
20786
863
    printRegWithShiftExtend_0_128_x_0(MI, 3, O);
20787
863
    SStream_concat1(O, ']');
20788
863
    return;
20789
0
    break;
20790
207
  case 79:
20791
    // LD3B_IMM, LD3D_IMM, LD3H_IMM, LD3Q_IMM, LD3W_IMM, ST3B_IMM, ST3D_IMM, ...
20792
207
    printImmScale_3(MI, 3, O);
20793
207
    SStream_concat0(O, ", mul vl]");
20794
207
    return;
20795
0
    break;
20796
174
  case 80:
20797
    // LDIAPPWpost
20798
174
    SStream_concat0(O, "], #8");
20799
174
    return;
20800
0
    break;
20801
475
  case 81:
20802
    // LDIAPPXpost
20803
475
    SStream_concat0(O, "], #16");
20804
475
    return;
20805
0
    break;
20806
3.91k
  case 82:
20807
    // LDPDpost, LDPQpost, LDPSWpost, LDPSpost, LDPWpost, LDPXpost, STGPpost,...
20808
3.91k
    SStream_concat0(O, "], ");
20809
3.91k
    break;
20810
1.88k
  case 83:
20811
    // LDRBBpre, LDRBpre, LDRDpre, LDRHHpre, LDRHpre, LDRQpre, LDRSBWpre, LDR...
20812
1.88k
    SStream_concat0(O, "]!");
20813
1.88k
    return;
20814
0
    break;
20815
405
  case 84:
20816
    // LDR_PXI, LDR_ZXI, STR_PXI, STR_ZXI
20817
405
    SStream_concat0(O, ", mul vl]");
20818
405
    return;
20819
0
    break;
20820
1.06k
  case 85:
20821
    // PSEL_PPPRI_B, PSEL_PPPRI_D, PSEL_PPPRI_H, PSEL_PPPRI_S
20822
1.06k
    SStream_concat1(O, '[');
20823
1.06k
    printOperand(MI, 3, O);
20824
1.06k
    SStream_concat0(O, ", ");
20825
1.06k
    printMatrixIndex_1(MI, 4, O);
20826
1.06k
    SStream_concat1(O, ']');
20827
1.06k
    return;
20828
0
    break;
20829
96
  case 86:
20830
    // SEL_VG2_2ZC2Z2Z_B, SEL_VG4_4ZC4Z4Z_B
20831
96
    printTypedVectorList_0_b(MI, 3, O);
20832
96
    return;
20833
0
    break;
20834
12
  case 87:
20835
    // SEL_VG2_2ZC2Z2Z_D, SEL_VG4_4ZC4Z4Z_D
20836
12
    printTypedVectorList_0_d(MI, 3, O);
20837
12
    return;
20838
0
    break;
20839
43
  case 88:
20840
    // SEL_VG2_2ZC2Z2Z_H, SEL_VG4_4ZC4Z4Z_H
20841
43
    printTypedVectorList_0_h(MI, 3, O);
20842
43
    return;
20843
0
    break;
20844
1.71k
  case 89:
20845
    // SEL_VG2_2ZC2Z2Z_S, SEL_VG4_4ZC4Z4Z_S
20846
1.71k
    printTypedVectorList_0_s(MI, 3, O);
20847
1.71k
    return;
20848
0
    break;
20849
26
  case 90:
20850
    // STILPWpre
20851
26
    SStream_concat0(O, ", #-8]!");
20852
26
    return;
20853
0
    break;
20854
117
  case 91:
20855
    // STILPXpre
20856
117
    SStream_concat0(O, ", #-16]!");
20857
117
    return;
20858
0
    break;
20859
1.01k
  case 92:
20860
    // STLXPW, STLXPX, STXPW, STXPX
20861
1.01k
    SStream_concat0(O, ", [");
20862
1.01k
    printOperand(MI, 3, O);
20863
1.01k
    SStream_concat1(O, ']');
20864
1.01k
    return;
20865
0
    break;
20866
141k
  }
20867
20868
20869
  // Fragment 6 encoded into 6 bits for 44 unique commands.
20870
90.7k
  switch ((Bits >> 56) & 63) {
20871
0
  default: assert(0 && "Invalid command number.");
20872
4.23k
  case 0:
20873
    // ADDG, ASRD_ZPmI_B, ASRD_ZPmI_D, ASRD_ZPmI_S, ASR_ZPmI_B, ASR_ZPmI_D, A...
20874
4.23k
    printOperand(MI, 3, O);
20875
4.23k
    return;
20876
0
    break;
20877
792
  case 1:
20878
    // ADDP_ZPmZ_B, ADD_ZPmZ_B, ANDS_PPzPP, AND_PPzPP, AND_ZPmZ_B, ASRR_ZPmZ_...
20879
792
    printSVERegOp_b(MI, 3, O);
20880
792
    return;
20881
0
    break;
20882
13.9k
  case 2:
20883
    // ADDP_ZPmZ_D, ADD_ZPmZ_CPA, ADD_ZPmZ_D, AND_ZPmZ_D, ASRR_ZPmZ_D, ASR_WI...
20884
13.9k
    printSVERegOp_d(MI, 3, O);
20885
13.9k
    break;
20886
4.60k
  case 3:
20887
    // ADDP_ZPmZ_H, ADD_VG2_M2ZZ_D, ADD_VG2_M2ZZ_S, ADD_VG4_M4ZZ_D, ADD_VG4_M...
20888
4.60k
    return;
20889
0
    break;
20890
13.7k
  case 4:
20891
    // ADDP_ZPmZ_S, ADD_ZPmZ_S, AND_ZPmZ_S, ASRR_ZPmZ_S, ASR_ZPmZ_S, BIC_ZPmZ...
20892
13.7k
    printSVERegOp_s(MI, 3, O);
20893
13.7k
    break;
20894
294
  case 5:
20895
    // BCAX, EOR3, SM3SS1
20896
294
    printVRegOperand(MI, 3, O);
20897
294
    break;
20898
2.54k
  case 6:
20899
    // BFDOT_VG2_M2ZZI_HtoS, BFDOT_VG4_M4ZZI_HtoS, BFMLAL_VG2_M2ZZI_HtoS, BFM...
20900
2.54k
    printVectorIndex_1(MI, 6, O);
20901
2.54k
    return;
20902
0
    break;
20903
6.42k
  case 7:
20904
    // BFMLALBIdx, BFMLALTIdx, FCMLAv4f16_indexed, FCMLAv4f32_indexed, FCMLAv...
20905
6.42k
    printVectorIndex_1(MI, 4, O);
20906
6.42k
    break;
20907
0
  case 8:
20908
    // BFMWri, BFMXri
20909
0
    printOperand(MI, 4, O);
20910
0
    return;
20911
0
    break;
20912
39
  case 9:
20913
    // CADD_ZZI_B, CADD_ZZI_D, CADD_ZZI_S, FCADDv2f32, FCADDv2f64, FCADDv4f16...
20914
39
    printComplexRotationOp_180_90(MI, 3, O);
20915
39
    return;
20916
0
    break;
20917
1.37k
  case 10:
20918
    // CCMNWi, CCMNWr, CCMNXi, CCMNXr, CCMPWi, CCMPWr, CCMPXi, CCMPXr, CSELWr...
20919
1.37k
    printCondCode(MI, 3, O);
20920
1.37k
    return;
20921
0
    break;
20922
611
  case 11:
20923
    // CDOT_ZZZI_D, CMLA_ZZZI_S, FCADD_ZPmZ_H, FCMLA_ZPmZZ_H, FCMLA_ZZZI_S, S...
20924
611
    SStream_concat0(O, ", ");
20925
611
    break;
20926
115
  case 12:
20927
    // CDOT_ZZZI_S, CMLA_ZZZI_H, FCMLA_ZZZI_H, SQRDCMLAH_ZZZI_H
20928
115
    printComplexRotationOp_90_0(MI, 5, O);
20929
115
    return;
20930
0
    break;
20931
255
  case 13:
20932
    // CDOT_ZZZ_D, CMLA_ZZZ_D, CMLA_ZZZ_S, FCMLAv2f32, FCMLAv2f64, FCMLAv4f16...
20933
255
    printComplexRotationOp_90_0(MI, 4, O);
20934
255
    return;
20935
0
    break;
20936
65
  case 14:
20937
    // CLASTA_RPZ_H, CLASTA_VPZ_H, CLASTB_RPZ_H, CLASTB_VPZ_H
20938
65
    printSVERegOp_h(MI, 3, O);
20939
65
    return;
20940
0
    break;
20941
1.87k
  case 15:
20942
    // CMPHI_PPzZI_B, CMPHI_PPzZI_D, CMPHI_PPzZI_S, CMPHS_PPzZI_B, CMPHS_PPzZ...
20943
1.87k
    printImm(MI, 3, O);
20944
1.87k
    return;
20945
0
    break;
20946
40
  case 16:
20947
    // FADD_ZPmI_D, FADD_ZPmI_S, FSUBR_ZPmI_D, FSUBR_ZPmI_S, FSUB_ZPmI_D, FSU...
20948
40
    printExactFPImm_AArch64ExactFPImm_half_AArch64ExactFPImm_one(MI, 3, O);
20949
40
    return;
20950
0
    break;
20951
3.37k
  case 17:
20952
    // FCMLA_ZPmZZ_D, FMAD_ZPmZZ_D, FMLA_ZPmZZ_D, FMLS_ZPmZZ_D, FMSB_ZPmZZ_D,...
20953
3.37k
    printSVERegOp_d(MI, 4, O);
20954
3.37k
    break;
20955
3.33k
  case 18:
20956
    // FCMLA_ZPmZZ_S, FMAD_ZPmZZ_S, FMLA_ZPmZZ_S, FMLS_ZPmZZ_S, FMSB_ZPmZZ_S,...
20957
3.33k
    printSVERegOp_s(MI, 4, O);
20958
3.33k
    break;
20959
161
  case 19:
20960
    // FMAXNM_ZPmI_D, FMAXNM_ZPmI_S, FMAX_ZPmI_D, FMAX_ZPmI_S, FMINNM_ZPmI_D,...
20961
161
    printExactFPImm_AArch64ExactFPImm_zero_AArch64ExactFPImm_one(MI, 3, O);
20962
161
    return;
20963
0
    break;
20964
564
  case 20:
20965
    // FMULXv1i16_indexed, FMULXv1i32_indexed, FMULXv1i64_indexed, FMULXv2i32...
20966
564
    printVectorIndex_1(MI, 3, O);
20967
564
    return;
20968
0
    break;
20969
24
  case 21:
20970
    // FMUL_ZPmI_D, FMUL_ZPmI_S
20971
24
    printExactFPImm_AArch64ExactFPImm_half_AArch64ExactFPImm_two(MI, 3, O);
20972
24
    return;
20973
0
    break;
20974
1.95k
  case 22:
20975
    // GLD1B_D_IMM_REAL, GLD1B_S_IMM_REAL, GLD1H_D_IMM_REAL, GLD1H_S_IMM_REAL...
20976
1.95k
    SStream_concat1(O, ']');
20977
1.95k
    return;
20978
0
    break;
20979
4.11k
  case 23:
20980
    // LD1B_2Z_IMM, LD1B_4Z_IMM, LD1B_4Z_STRIDED_IMM, LD1B_D_IMM, LD1B_H_IMM,...
20981
4.11k
    SStream_concat0(O, ", mul vl]");
20982
4.11k
    return;
20983
0
    break;
20984
5.31k
  case 24:
20985
    // LDNPDi, LDNPXi, LDPDi, LDPXi, STNPDi, STNPXi, STPDi, STPXi
20986
5.31k
    printImmScale_8(MI, 3, O);
20987
5.31k
    SStream_concat1(O, ']');
20988
5.31k
    return;
20989
0
    break;
20990
1.48k
  case 25:
20991
    // LDNPQi, LDPQi, STGPi, STNPQi, STPQi
20992
1.48k
    printImmScale_16(MI, 3, O);
20993
1.48k
    SStream_concat1(O, ']');
20994
1.48k
    return;
20995
0
    break;
20996
7.14k
  case 26:
20997
    // LDNPSi, LDNPWi, LDPSWi, LDPSi, LDPWi, STNPSi, STNPWi, STPSi, STPWi
20998
7.14k
    printImmScale_4(MI, 3, O);
20999
7.14k
    SStream_concat1(O, ']');
21000
7.14k
    return;
21001
0
    break;
21002
2.43k
  case 27:
21003
    // LDPDpost, LDPDpre, LDPXpost, LDPXpre, STPDpost, STPDpre, STPXpost, STP...
21004
2.43k
    printImmScale_8(MI, 4, O);
21005
2.43k
    break;
21006
2.76k
  case 28:
21007
    // LDPQpost, LDPQpre, STGPpost, STGPpre, STPQpost, STPQpre
21008
2.76k
    printImmScale_16(MI, 4, O);
21009
2.76k
    break;
21010
3.16k
  case 29:
21011
    // LDPSWpost, LDPSWpre, LDPSpost, LDPSpre, LDPWpost, LDPWpre, STPSpost, S...
21012
3.16k
    printImmScale_4(MI, 4, O);
21013
3.16k
    break;
21014
60
  case 30:
21015
    // LDRBBroW, LDRBroW, LDRSBWroW, LDRSBXroW, STRBBroW, STRBroW
21016
60
    printMemExtend_w_8(MI, 3, O);
21017
60
    SStream_concat1(O, ']');
21018
60
    return;
21019
0
    break;
21020
111
  case 31:
21021
    // LDRBBroX, LDRBroX, LDRSBWroX, LDRSBXroX, STRBBroX, STRBroX
21022
111
    printMemExtend_x_8(MI, 3, O);
21023
111
    SStream_concat1(O, ']');
21024
111
    return;
21025
0
    break;
21026
220
  case 32:
21027
    // LDRDroW, LDRXroW, PRFMroW, STRDroW, STRXroW
21028
220
    printMemExtend_w_64(MI, 3, O);
21029
220
    SStream_concat1(O, ']');
21030
220
    return;
21031
0
    break;
21032
647
  case 33:
21033
    // LDRDroX, LDRXroX, PRFMroX, STRDroX, STRXroX
21034
647
    printMemExtend_x_64(MI, 3, O);
21035
647
    SStream_concat1(O, ']');
21036
647
    return;
21037
0
    break;
21038
257
  case 34:
21039
    // LDRHHroW, LDRHroW, LDRSHWroW, LDRSHXroW, STRHHroW, STRHroW
21040
257
    printMemExtend_w_16(MI, 3, O);
21041
257
    SStream_concat1(O, ']');
21042
257
    return;
21043
0
    break;
21044
663
  case 35:
21045
    // LDRHHroX, LDRHroX, LDRSHWroX, LDRSHXroX, STRHHroX, STRHroX
21046
663
    printMemExtend_x_16(MI, 3, O);
21047
663
    SStream_concat1(O, ']');
21048
663
    return;
21049
0
    break;
21050
11
  case 36:
21051
    // LDRQroW, STRQroW
21052
11
    printMemExtend_w_128(MI, 3, O);
21053
11
    SStream_concat1(O, ']');
21054
11
    return;
21055
0
    break;
21056
12
  case 37:
21057
    // LDRQroX, STRQroX
21058
12
    printMemExtend_x_128(MI, 3, O);
21059
12
    SStream_concat1(O, ']');
21060
12
    return;
21061
0
    break;
21062
76
  case 38:
21063
    // LDRSWroW, LDRSroW, LDRWroW, STRSroW, STRWroW
21064
76
    printMemExtend_w_32(MI, 3, O);
21065
76
    SStream_concat1(O, ']');
21066
76
    return;
21067
0
    break;
21068
23
  case 39:
21069
    // LDRSWroX, LDRSroX, LDRWroX, STRSroX, STRWroX
21070
23
    printMemExtend_x_32(MI, 3, O);
21071
23
    SStream_concat1(O, ']');
21072
23
    return;
21073
0
    break;
21074
83
  case 40:
21075
    // ST1B_2Z_STRIDED, STNT1B_2Z_STRIDED
21076
83
    printRegWithShiftExtend_0_8_x_0(MI, 3, O);
21077
83
    SStream_concat1(O, ']');
21078
83
    return;
21079
0
    break;
21080
1.02k
  case 41:
21081
    // ST1B_2Z_STRIDED_IMM, ST1H_2Z_STRIDED_IMM, STNT1B_2Z_STRIDED_IMM, STNT1...
21082
1.02k
    printImmScale_2(MI, 3, O);
21083
1.02k
    SStream_concat0(O, ", mul vl]");
21084
1.02k
    return;
21085
0
    break;
21086
92
  case 42:
21087
    // ST1H_2Z_STRIDED, STNT1H_2Z_STRIDED
21088
92
    printRegWithShiftExtend_0_16_x_0(MI, 3, O);
21089
92
    SStream_concat1(O, ']');
21090
92
    return;
21091
0
    break;
21092
696
  case 43:
21093
    // WHILEGE_CXX_B, WHILEGE_CXX_D, WHILEGE_CXX_H, WHILEGE_CXX_S, WHILEGT_CX...
21094
696
    printSVEVecLenSpecifier(MI, 3, O);
21095
696
    return;
21096
0
    break;
21097
90.7k
  }
21098
21099
50.0k
  switch (MCInst_getOpcode(MI)) {
21100
0
  default: assert(0 && "Unexpected opcode.");
21101
666
  case AArch64_ADDP_ZPmZ_D:
21102
800
  case AArch64_ADDP_ZPmZ_S:
21103
1.73k
  case AArch64_ADD_ZPmZ_CPA:
21104
1.99k
  case AArch64_ADD_ZPmZ_D:
21105
2.49k
  case AArch64_ADD_ZPmZ_S:
21106
2.51k
  case AArch64_AND_ZPmZ_D:
21107
2.53k
  case AArch64_AND_ZPmZ_S:
21108
2.56k
  case AArch64_ASRR_ZPmZ_D:
21109
2.58k
  case AArch64_ASRR_ZPmZ_S:
21110
2.59k
  case AArch64_ASR_WIDE_ZPmZ_B:
21111
2.80k
  case AArch64_ASR_WIDE_ZPmZ_S:
21112
3.14k
  case AArch64_ASR_ZPmZ_D:
21113
3.20k
  case AArch64_ASR_ZPmZ_S:
21114
3.21k
  case AArch64_BCAX_ZZZZ:
21115
3.29k
  case AArch64_BFMLALBIdx:
21116
3.31k
  case AArch64_BFMLALTIdx:
21117
3.33k
  case AArch64_BIC_ZPmZ_D:
21118
3.33k
  case AArch64_BIC_ZPmZ_S:
21119
3.35k
  case AArch64_BSL1N_ZZZZ:
21120
3.37k
  case AArch64_BSL2N_ZZZZ:
21121
3.53k
  case AArch64_BSL_ZZZZ:
21122
3.78k
  case AArch64_CLASTA_RPZ_D:
21123
3.80k
  case AArch64_CLASTA_RPZ_S:
21124
3.82k
  case AArch64_CLASTA_VPZ_D:
21125
3.83k
  case AArch64_CLASTA_VPZ_S:
21126
3.85k
  case AArch64_CLASTA_ZPZ_D:
21127
3.89k
  case AArch64_CLASTA_ZPZ_S:
21128
3.99k
  case AArch64_CLASTB_RPZ_D:
21129
4.07k
  case AArch64_CLASTB_RPZ_S:
21130
4.08k
  case AArch64_CLASTB_VPZ_D:
21131
4.25k
  case AArch64_CLASTB_VPZ_S:
21132
4.27k
  case AArch64_CLASTB_ZPZ_D:
21133
4.29k
  case AArch64_CLASTB_ZPZ_S:
21134
4.37k
  case AArch64_CMPEQ_PPzZZ_D:
21135
4.41k
  case AArch64_CMPEQ_PPzZZ_S:
21136
4.83k
  case AArch64_CMPEQ_WIDE_PPzZZ_B:
21137
4.93k
  case AArch64_CMPEQ_WIDE_PPzZZ_S:
21138
5.01k
  case AArch64_CMPGE_PPzZZ_D:
21139
5.09k
  case AArch64_CMPGE_PPzZZ_S:
21140
5.11k
  case AArch64_CMPGE_WIDE_PPzZZ_B:
21141
5.23k
  case AArch64_CMPGE_WIDE_PPzZZ_S:
21142
5.24k
  case AArch64_CMPGT_PPzZZ_D:
21143
5.32k
  case AArch64_CMPGT_PPzZZ_S:
21144
5.44k
  case AArch64_CMPGT_WIDE_PPzZZ_B:
21145
5.47k
  case AArch64_CMPGT_WIDE_PPzZZ_S:
21146
5.53k
  case AArch64_CMPHI_PPzZZ_D:
21147
5.92k
  case AArch64_CMPHI_PPzZZ_S:
21148
6.01k
  case AArch64_CMPHI_WIDE_PPzZZ_B:
21149
6.03k
  case AArch64_CMPHI_WIDE_PPzZZ_S:
21150
6.25k
  case AArch64_CMPHS_PPzZZ_D:
21151
6.37k
  case AArch64_CMPHS_PPzZZ_S:
21152
6.67k
  case AArch64_CMPHS_WIDE_PPzZZ_B:
21153
6.78k
  case AArch64_CMPHS_WIDE_PPzZZ_S:
21154
6.79k
  case AArch64_CMPLE_WIDE_PPzZZ_B:
21155
7.00k
  case AArch64_CMPLE_WIDE_PPzZZ_S:
21156
7.02k
  case AArch64_CMPLO_WIDE_PPzZZ_B:
21157
7.07k
  case AArch64_CMPLO_WIDE_PPzZZ_S:
21158
7.29k
  case AArch64_CMPLS_WIDE_PPzZZ_B:
21159
7.42k
  case AArch64_CMPLS_WIDE_PPzZZ_S:
21160
7.46k
  case AArch64_CMPLT_WIDE_PPzZZ_B:
21161
7.50k
  case AArch64_CMPLT_WIDE_PPzZZ_S:
21162
7.51k
  case AArch64_CMPNE_PPzZZ_D:
21163
7.55k
  case AArch64_CMPNE_PPzZZ_S:
21164
7.99k
  case AArch64_CMPNE_WIDE_PPzZZ_B:
21165
8.04k
  case AArch64_CMPNE_WIDE_PPzZZ_S:
21166
8.16k
  case AArch64_EOR3_ZZZZ:
21167
8.18k
  case AArch64_EOR_ZPmZ_D:
21168
8.36k
  case AArch64_EOR_ZPmZ_S:
21169
8.40k
  case AArch64_FABD_ZPmZ_D:
21170
8.43k
  case AArch64_FABD_ZPmZ_S:
21171
8.46k
  case AArch64_FACGE_PPzZZ_D:
21172
8.56k
  case AArch64_FACGE_PPzZZ_S:
21173
8.57k
  case AArch64_FACGT_PPzZZ_D:
21174
8.58k
  case AArch64_FACGT_PPzZZ_S:
21175
8.68k
  case AArch64_FADDP_ZPmZZ_D:
21176
8.69k
  case AArch64_FADDP_ZPmZZ_S:
21177
8.70k
  case AArch64_FADD_ZPmZ_D:
21178
8.72k
  case AArch64_FADD_ZPmZ_S:
21179
8.77k
  case AArch64_FAMAX_ZPmZ_D:
21180
8.78k
  case AArch64_FAMAX_ZPmZ_S:
21181
8.79k
  case AArch64_FAMIN_ZPmZ_D:
21182
8.80k
  case AArch64_FAMIN_ZPmZ_S:
21183
8.91k
  case AArch64_FCMEQ_PPzZZ_D:
21184
8.96k
  case AArch64_FCMEQ_PPzZZ_S:
21185
9.10k
  case AArch64_FCMGE_PPzZZ_D:
21186
9.17k
  case AArch64_FCMGE_PPzZZ_S:
21187
9.27k
  case AArch64_FCMGT_PPzZZ_D:
21188
9.30k
  case AArch64_FCMGT_PPzZZ_S:
21189
9.33k
  case AArch64_FCMNE_PPzZZ_D:
21190
9.34k
  case AArch64_FCMNE_PPzZZ_S:
21191
9.47k
  case AArch64_FCMUO_PPzZZ_D:
21192
9.48k
  case AArch64_FCMUO_PPzZZ_S:
21193
9.51k
  case AArch64_FDIVR_ZPmZ_D:
21194
9.52k
  case AArch64_FDIVR_ZPmZ_S:
21195
9.53k
  case AArch64_FDIV_ZPmZ_D:
21196
9.56k
  case AArch64_FDIV_ZPmZ_S:
21197
9.59k
  case AArch64_FMAD_ZPmZZ_D:
21198
9.75k
  case AArch64_FMAD_ZPmZZ_S:
21199
9.76k
  case AArch64_FMAXNMP_ZPmZZ_D:
21200
10.1k
  case AArch64_FMAXNMP_ZPmZZ_S:
21201
10.1k
  case AArch64_FMAXNM_ZPmZ_D:
21202
10.4k
  case AArch64_FMAXNM_ZPmZ_S:
21203
10.4k
  case AArch64_FMAXP_ZPmZZ_D:
21204
10.6k
  case AArch64_FMAXP_ZPmZZ_S:
21205
10.6k
  case AArch64_FMAX_ZPmZ_D:
21206
10.6k
  case AArch64_FMAX_ZPmZ_S:
21207
10.7k
  case AArch64_FMINNMP_ZPmZZ_D:
21208
10.7k
  case AArch64_FMINNMP_ZPmZZ_S:
21209
11.0k
  case AArch64_FMINNM_ZPmZ_D:
21210
11.0k
  case AArch64_FMINNM_ZPmZ_S:
21211
11.1k
  case AArch64_FMINP_ZPmZZ_D:
21212
11.8k
  case AArch64_FMINP_ZPmZZ_S:
21213
11.8k
  case AArch64_FMIN_ZPmZ_D:
21214
11.8k
  case AArch64_FMIN_ZPmZ_S:
21215
11.9k
  case AArch64_FMLAL2lanev8f16:
21216
12.0k
  case AArch64_FMLALlanev8f16:
21217
12.0k
  case AArch64_FMLA_ZPmZZ_D:
21218
12.0k
  case AArch64_FMLA_ZPmZZ_S:
21219
12.0k
  case AArch64_FMLAv1i16_indexed:
21220
12.1k
  case AArch64_FMLAv1i32_indexed:
21221
12.1k
  case AArch64_FMLAv1i64_indexed:
21222
12.1k
  case AArch64_FMLAv2i32_indexed:
21223
12.2k
  case AArch64_FMLAv2i64_indexed:
21224
12.2k
  case AArch64_FMLAv4i16_indexed:
21225
12.2k
  case AArch64_FMLAv4i32_indexed:
21226
12.3k
  case AArch64_FMLAv8i16_indexed:
21227
12.3k
  case AArch64_FMLSL2lanev8f16:
21228
12.3k
  case AArch64_FMLSLlanev8f16:
21229
12.3k
  case AArch64_FMLS_ZPmZZ_D:
21230
12.4k
  case AArch64_FMLS_ZPmZZ_S:
21231
12.4k
  case AArch64_FMLSv1i16_indexed:
21232
12.5k
  case AArch64_FMLSv1i32_indexed:
21233
12.5k
  case AArch64_FMLSv1i64_indexed:
21234
12.6k
  case AArch64_FMLSv2i32_indexed:
21235
12.6k
  case AArch64_FMLSv2i64_indexed:
21236
12.6k
  case AArch64_FMLSv4i16_indexed:
21237
12.6k
  case AArch64_FMLSv4i32_indexed:
21238
12.7k
  case AArch64_FMLSv8i16_indexed:
21239
12.8k
  case AArch64_FMSB_ZPmZZ_D:
21240
12.9k
  case AArch64_FMSB_ZPmZZ_S:
21241
12.9k
  case AArch64_FMULX_ZPmZ_D:
21242
13.1k
  case AArch64_FMULX_ZPmZ_S:
21243
13.1k
  case AArch64_FMUL_ZPmZ_D:
21244
13.1k
  case AArch64_FMUL_ZPmZ_S:
21245
13.1k
  case AArch64_FNMAD_ZPmZZ_D:
21246
13.4k
  case AArch64_FNMAD_ZPmZZ_S:
21247
14.1k
  case AArch64_FNMLA_ZPmZZ_D:
21248
14.2k
  case AArch64_FNMLA_ZPmZZ_S:
21249
14.3k
  case AArch64_FNMLS_ZPmZZ_D:
21250
14.4k
  case AArch64_FNMLS_ZPmZZ_S:
21251
14.5k
  case AArch64_FNMSB_ZPmZZ_D:
21252
14.9k
  case AArch64_FNMSB_ZPmZZ_S:
21253
15.0k
  case AArch64_FSCALE_ZPmZ_D:
21254
15.0k
  case AArch64_FSCALE_ZPmZ_S:
21255
15.1k
  case AArch64_FSUBR_ZPmZ_D:
21256
15.1k
  case AArch64_FSUBR_ZPmZ_S:
21257
15.2k
  case AArch64_FSUB_ZPmZ_D:
21258
15.3k
  case AArch64_FSUB_ZPmZ_S:
21259
15.4k
  case AArch64_HISTCNT_ZPzZZ_D:
21260
15.5k
  case AArch64_HISTCNT_ZPzZZ_S:
21261
15.8k
  case AArch64_LDPDpost:
21262
16.0k
  case AArch64_LDPQpost:
21263
16.1k
  case AArch64_LDPSWpost:
21264
16.2k
  case AArch64_LDPSpost:
21265
16.5k
  case AArch64_LDPWpost:
21266
16.6k
  case AArch64_LDPXpost:
21267
16.7k
  case AArch64_LSLR_ZPmZ_D:
21268
17.7k
  case AArch64_LSLR_ZPmZ_S:
21269
17.7k
  case AArch64_LSL_WIDE_ZPmZ_B:
21270
17.8k
  case AArch64_LSL_WIDE_ZPmZ_S:
21271
17.8k
  case AArch64_LSL_ZPmZ_D:
21272
17.9k
  case AArch64_LSL_ZPmZ_S:
21273
17.9k
  case AArch64_LSRR_ZPmZ_D:
21274
18.0k
  case AArch64_LSRR_ZPmZ_S:
21275
18.0k
  case AArch64_LSR_WIDE_ZPmZ_B:
21276
18.0k
  case AArch64_LSR_WIDE_ZPmZ_S:
21277
18.0k
  case AArch64_LSR_ZPmZ_D:
21278
18.1k
  case AArch64_LSR_ZPmZ_S:
21279
19.2k
  case AArch64_MAD_ZPmZZ_D:
21280
19.4k
  case AArch64_MAD_ZPmZZ_S:
21281
19.6k
  case AArch64_MLA_ZPmZZ_D:
21282
19.6k
  case AArch64_MLA_ZPmZZ_S:
21283
19.6k
  case AArch64_MLAv2i32_indexed:
21284
19.7k
  case AArch64_MLAv4i16_indexed:
21285
20.0k
  case AArch64_MLAv4i32_indexed:
21286
20.0k
  case AArch64_MLAv8i16_indexed:
21287
20.8k
  case AArch64_MLS_ZPmZZ_D:
21288
20.9k
  case AArch64_MLS_ZPmZZ_S:
21289
21.0k
  case AArch64_MLSv2i32_indexed:
21290
21.0k
  case AArch64_MLSv4i16_indexed:
21291
21.1k
  case AArch64_MLSv4i32_indexed:
21292
21.1k
  case AArch64_MLSv8i16_indexed:
21293
21.2k
  case AArch64_MSB_ZPmZZ_D:
21294
22.2k
  case AArch64_MSB_ZPmZZ_S:
21295
22.9k
  case AArch64_MUL_ZPmZ_D:
21296
23.4k
  case AArch64_MUL_ZPmZ_S:
21297
23.5k
  case AArch64_NBSL_ZZZZ:
21298
23.6k
  case AArch64_ORR_ZPmZ_D:
21299
25.0k
  case AArch64_ORR_ZPmZ_S:
21300
25.0k
  case AArch64_SABD_ZPmZ_D:
21301
25.0k
  case AArch64_SABD_ZPmZ_S:
21302
25.1k
  case AArch64_SDIVR_ZPmZ_D:
21303
25.2k
  case AArch64_SDIVR_ZPmZ_S:
21304
25.2k
  case AArch64_SDIV_ZPmZ_D:
21305
25.2k
  case AArch64_SDIV_ZPmZ_S:
21306
25.3k
  case AArch64_SEL_ZPZZ_D:
21307
25.4k
  case AArch64_SEL_ZPZZ_S:
21308
25.5k
  case AArch64_SHADD_ZPmZ_D:
21309
25.6k
  case AArch64_SHADD_ZPmZ_S:
21310
25.6k
  case AArch64_SHSUBR_ZPmZ_D:
21311
25.7k
  case AArch64_SHSUBR_ZPmZ_S:
21312
25.7k
  case AArch64_SHSUB_ZPmZ_D:
21313
26.1k
  case AArch64_SHSUB_ZPmZ_S:
21314
26.4k
  case AArch64_SM3TT1A:
21315
27.0k
  case AArch64_SM3TT1B:
21316
27.6k
  case AArch64_SM3TT2A:
21317
27.7k
  case AArch64_SM3TT2B:
21318
27.7k
  case AArch64_SMAXP_ZPmZ_D:
21319
27.7k
  case AArch64_SMAXP_ZPmZ_S:
21320
27.7k
  case AArch64_SMAX_ZPmZ_D:
21321
27.7k
  case AArch64_SMAX_ZPmZ_S:
21322
27.8k
  case AArch64_SMINP_ZPmZ_D:
21323
27.8k
  case AArch64_SMINP_ZPmZ_S:
21324
27.9k
  case AArch64_SMIN_ZPmZ_D:
21325
28.1k
  case AArch64_SMIN_ZPmZ_S:
21326
28.1k
  case AArch64_SMLALv2i32_indexed:
21327
28.5k
  case AArch64_SMLALv4i16_indexed:
21328
28.6k
  case AArch64_SMLALv4i32_indexed:
21329
28.7k
  case AArch64_SMLALv8i16_indexed:
21330
28.7k
  case AArch64_SMLSLv2i32_indexed:
21331
28.7k
  case AArch64_SMLSLv4i16_indexed:
21332
28.8k
  case AArch64_SMLSLv4i32_indexed:
21333
28.8k
  case AArch64_SMLSLv8i16_indexed:
21334
29.0k
  case AArch64_SMULH_ZPmZ_D:
21335
29.6k
  case AArch64_SMULH_ZPmZ_S:
21336
29.6k
  case AArch64_SPLICE_ZPZ_D:
21337
29.7k
  case AArch64_SPLICE_ZPZ_S:
21338
29.8k
  case AArch64_SQADD_ZPmZ_D:
21339
29.8k
  case AArch64_SQADD_ZPmZ_S:
21340
29.8k
  case AArch64_SQDMLALv1i32_indexed:
21341
29.8k
  case AArch64_SQDMLALv1i64_indexed:
21342
29.8k
  case AArch64_SQDMLALv2i32_indexed:
21343
29.8k
  case AArch64_SQDMLALv4i16_indexed:
21344
29.9k
  case AArch64_SQDMLALv4i32_indexed:
21345
30.1k
  case AArch64_SQDMLALv8i16_indexed:
21346
30.3k
  case AArch64_SQDMLSLv1i32_indexed:
21347
30.4k
  case AArch64_SQDMLSLv1i64_indexed:
21348
30.5k
  case AArch64_SQDMLSLv2i32_indexed:
21349
30.5k
  case AArch64_SQDMLSLv4i16_indexed:
21350
30.5k
  case AArch64_SQDMLSLv4i32_indexed:
21351
30.6k
  case AArch64_SQDMLSLv8i16_indexed:
21352
30.7k
  case AArch64_SQRDMLAHv1i16_indexed:
21353
30.8k
  case AArch64_SQRDMLAHv1i32_indexed:
21354
30.9k
  case AArch64_SQRDMLAHv2i32_indexed:
21355
30.9k
  case AArch64_SQRDMLAHv4i16_indexed:
21356
31.0k
  case AArch64_SQRDMLAHv4i32_indexed:
21357
31.0k
  case AArch64_SQRDMLAHv8i16_indexed:
21358
31.0k
  case AArch64_SQRDMLSHv1i16_indexed:
21359
31.0k
  case AArch64_SQRDMLSHv1i32_indexed:
21360
31.0k
  case AArch64_SQRDMLSHv2i32_indexed:
21361
31.1k
  case AArch64_SQRDMLSHv4i16_indexed:
21362
31.2k
  case AArch64_SQRDMLSHv4i32_indexed:
21363
31.5k
  case AArch64_SQRDMLSHv8i16_indexed:
21364
31.5k
  case AArch64_SQRSHLR_ZPmZ_D:
21365
31.6k
  case AArch64_SQRSHLR_ZPmZ_S:
21366
31.6k
  case AArch64_SQRSHL_ZPmZ_D:
21367
31.7k
  case AArch64_SQRSHL_ZPmZ_S:
21368
32.6k
  case AArch64_SQSHLR_ZPmZ_D:
21369
32.8k
  case AArch64_SQSHLR_ZPmZ_S:
21370
32.9k
  case AArch64_SQSHL_ZPmZ_D:
21371
32.9k
  case AArch64_SQSHL_ZPmZ_S:
21372
33.3k
  case AArch64_SQSUBR_ZPmZ_D:
21373
33.3k
  case AArch64_SQSUBR_ZPmZ_S:
21374
33.3k
  case AArch64_SQSUB_ZPmZ_D:
21375
33.4k
  case AArch64_SQSUB_ZPmZ_S:
21376
33.5k
  case AArch64_SRHADD_ZPmZ_D:
21377
33.7k
  case AArch64_SRHADD_ZPmZ_S:
21378
33.7k
  case AArch64_SRSHLR_ZPmZ_D:
21379
33.8k
  case AArch64_SRSHLR_ZPmZ_S:
21380
33.8k
  case AArch64_SRSHL_ZPmZ_D:
21381
34.1k
  case AArch64_SRSHL_ZPmZ_S:
21382
34.5k
  case AArch64_STGPpost:
21383
34.8k
  case AArch64_STPDpost:
21384
35.8k
  case AArch64_STPQpost:
21385
36.0k
  case AArch64_STPSpost:
21386
36.3k
  case AArch64_STPWpost:
21387
36.8k
  case AArch64_STPXpost:
21388
36.9k
  case AArch64_SUBR_ZPmZ_D:
21389
37.0k
  case AArch64_SUBR_ZPmZ_S:
21390
37.0k
  case AArch64_SUB_ZPmZ_CPA:
21391
37.4k
  case AArch64_SUB_ZPmZ_D:
21392
37.4k
  case AArch64_SUB_ZPmZ_S:
21393
37.5k
  case AArch64_SUQADD_ZPmZ_D:
21394
37.5k
  case AArch64_SUQADD_ZPmZ_S:
21395
37.5k
  case AArch64_UABD_ZPmZ_D:
21396
37.5k
  case AArch64_UABD_ZPmZ_S:
21397
37.5k
  case AArch64_UDIVR_ZPmZ_D:
21398
37.5k
  case AArch64_UDIVR_ZPmZ_S:
21399
37.6k
  case AArch64_UDIV_ZPmZ_D:
21400
37.7k
  case AArch64_UDIV_ZPmZ_S:
21401
37.7k
  case AArch64_UHADD_ZPmZ_D:
21402
37.7k
  case AArch64_UHADD_ZPmZ_S:
21403
37.7k
  case AArch64_UHSUBR_ZPmZ_D:
21404
37.8k
  case AArch64_UHSUBR_ZPmZ_S:
21405
37.8k
  case AArch64_UHSUB_ZPmZ_D:
21406
38.4k
  case AArch64_UHSUB_ZPmZ_S:
21407
38.4k
  case AArch64_UMAXP_ZPmZ_D:
21408
38.4k
  case AArch64_UMAXP_ZPmZ_S:
21409
38.5k
  case AArch64_UMAX_ZPmZ_D:
21410
38.6k
  case AArch64_UMAX_ZPmZ_S:
21411
38.6k
  case AArch64_UMINP_ZPmZ_D:
21412
38.6k
  case AArch64_UMINP_ZPmZ_S:
21413
38.6k
  case AArch64_UMIN_ZPmZ_D:
21414
38.7k
  case AArch64_UMIN_ZPmZ_S:
21415
38.8k
  case AArch64_UMLALv2i32_indexed:
21416
38.8k
  case AArch64_UMLALv4i16_indexed:
21417
38.8k
  case AArch64_UMLALv4i32_indexed:
21418
39.3k
  case AArch64_UMLALv8i16_indexed:
21419
39.3k
  case AArch64_UMLSLv2i32_indexed:
21420
39.4k
  case AArch64_UMLSLv4i16_indexed:
21421
39.4k
  case AArch64_UMLSLv4i32_indexed:
21422
39.4k
  case AArch64_UMLSLv8i16_indexed:
21423
39.5k
  case AArch64_UMULH_ZPmZ_D:
21424
39.5k
  case AArch64_UMULH_ZPmZ_S:
21425
39.6k
  case AArch64_UQADD_ZPmZ_D:
21426
39.7k
  case AArch64_UQADD_ZPmZ_S:
21427
39.8k
  case AArch64_UQRSHLR_ZPmZ_D:
21428
39.8k
  case AArch64_UQRSHLR_ZPmZ_S:
21429
40.0k
  case AArch64_UQRSHL_ZPmZ_D:
21430
40.1k
  case AArch64_UQRSHL_ZPmZ_S:
21431
40.3k
  case AArch64_UQSHLR_ZPmZ_D:
21432
40.3k
  case AArch64_UQSHLR_ZPmZ_S:
21433
40.3k
  case AArch64_UQSHL_ZPmZ_D:
21434
40.9k
  case AArch64_UQSHL_ZPmZ_S:
21435
40.9k
  case AArch64_UQSUBR_ZPmZ_D:
21436
42.2k
  case AArch64_UQSUBR_ZPmZ_S:
21437
42.2k
  case AArch64_UQSUB_ZPmZ_D:
21438
42.5k
  case AArch64_UQSUB_ZPmZ_S:
21439
42.8k
  case AArch64_URHADD_ZPmZ_D:
21440
42.9k
  case AArch64_URHADD_ZPmZ_S:
21441
42.9k
  case AArch64_URSHLR_ZPmZ_D:
21442
42.9k
  case AArch64_URSHLR_ZPmZ_S:
21443
43.0k
  case AArch64_URSHL_ZPmZ_D:
21444
43.3k
  case AArch64_URSHL_ZPmZ_S:
21445
43.5k
  case AArch64_USQADD_ZPmZ_D:
21446
43.5k
  case AArch64_USQADD_ZPmZ_S:
21447
43.5k
    return;
21448
0
    break;
21449
113
  case AArch64_BCAX:
21450
193
  case AArch64_CDOT_ZZZI_D:
21451
212
  case AArch64_CMLA_ZZZI_S:
21452
316
  case AArch64_EOR3:
21453
328
  case AArch64_FCADD_ZPmZ_H:
21454
732
  case AArch64_FCMLA_ZPmZZ_H:
21455
753
  case AArch64_FCMLA_ZZZI_S:
21456
1.01k
  case AArch64_LDPDpre:
21457
1.05k
  case AArch64_LDPQpre:
21458
1.31k
  case AArch64_LDPSWpre:
21459
2.00k
  case AArch64_LDPSpre:
21460
2.63k
  case AArch64_LDPWpre:
21461
2.77k
  case AArch64_LDPXpre:
21462
2.85k
  case AArch64_SM3SS1:
21463
2.93k
  case AArch64_SQRDCMLAH_ZZZI_S:
21464
3.44k
  case AArch64_STGPpre:
21465
3.54k
  case AArch64_STPDpre:
21466
4.13k
  case AArch64_STPQpre:
21467
4.40k
  case AArch64_STPSpre:
21468
4.70k
  case AArch64_STPWpre:
21469
5.34k
  case AArch64_STPXpre:
21470
5.34k
    switch (MCInst_getOpcode(MI)) {
21471
0
    default: assert(0 && "Unexpected opcode.");
21472
113
    case AArch64_BCAX:
21473
217
    case AArch64_EOR3:
21474
217
      SStream_concat0(O, ".16b");
21475
217
      break;
21476
80
    case AArch64_CDOT_ZZZI_D:
21477
99
    case AArch64_CMLA_ZZZI_S:
21478
503
    case AArch64_FCMLA_ZPmZZ_H:
21479
524
    case AArch64_FCMLA_ZZZI_S:
21480
599
    case AArch64_SQRDCMLAH_ZZZI_S:
21481
599
      printComplexRotationOp_90_0(MI, 5, O);
21482
599
      break;
21483
12
    case AArch64_FCADD_ZPmZ_H:
21484
12
      printComplexRotationOp_180_90(MI, 4, O);
21485
12
      break;
21486
262
    case AArch64_LDPDpre:
21487
304
    case AArch64_LDPQpre:
21488
563
    case AArch64_LDPSWpre:
21489
1.25k
    case AArch64_LDPSpre:
21490
1.88k
    case AArch64_LDPWpre:
21491
2.02k
    case AArch64_LDPXpre:
21492
2.53k
    case AArch64_STGPpre:
21493
2.63k
    case AArch64_STPDpre:
21494
3.22k
    case AArch64_STPQpre:
21495
3.49k
    case AArch64_STPSpre:
21496
3.79k
    case AArch64_STPWpre:
21497
4.44k
    case AArch64_STPXpre:
21498
4.44k
      SStream_concat0(O, "]!");
21499
4.44k
      break;
21500
77
    case AArch64_SM3SS1:
21501
77
      SStream_concat0(O, ".4s");
21502
77
      break;
21503
5.34k
    }
21504
5.34k
    return;
21505
5.34k
    break;
21506
5.34k
  case AArch64_FCADD_ZPmZ_D:
21507
245
  case AArch64_FCADD_ZPmZ_S:
21508
353
  case AArch64_FCMLA_ZPmZZ_D:
21509
1.01k
  case AArch64_FCMLA_ZPmZZ_S:
21510
1.04k
  case AArch64_FCMLAv4f16_indexed:
21511
1.07k
  case AArch64_FCMLAv4f32_indexed:
21512
1.18k
  case AArch64_FCMLAv8f16_indexed:
21513
1.18k
    SStream_concat0(O, ", ");
21514
1.18k
    switch (MCInst_getOpcode(MI)) {
21515
0
    default: assert(0 && "Unexpected opcode.");
21516
191
    case AArch64_FCADD_ZPmZ_D:
21517
245
    case AArch64_FCADD_ZPmZ_S:
21518
245
      printComplexRotationOp_180_90(MI, 4, O);
21519
245
      break;
21520
108
    case AArch64_FCMLA_ZPmZZ_D:
21521
767
    case AArch64_FCMLA_ZPmZZ_S:
21522
804
    case AArch64_FCMLAv4f16_indexed:
21523
831
    case AArch64_FCMLAv4f32_indexed:
21524
935
    case AArch64_FCMLAv8f16_indexed:
21525
935
      printComplexRotationOp_90_0(MI, 5, O);
21526
935
      break;
21527
1.18k
    }
21528
1.18k
    return;
21529
1.18k
    break;
21530
50.0k
  }
21531
50.0k
}
21532
21533
21534
/// getRegisterName - This method is automatically generated by tblgen
21535
/// from the register set description.  This returns the assembler name
21536
/// for the specified register.
21537
static const char *
21538
1.07M
getRegisterName(unsigned RegNo, unsigned AltIdx) {
21539
1.07M
#ifndef CAPSTONE_DIET
21540
1.07M
  assert(RegNo && RegNo < 700 && "Invalid register number!");
21541
21542
1.07M
  static const char AsmStrsNoRegAltName[] = {
21543
1.07M
  /* 0 */ "D7_D8_D9_D10\0"
21544
1.07M
  /* 13 */ "P9_P10\0"
21545
1.07M
  /* 20 */ "Q7_Q8_Q9_Q10\0"
21546
1.07M
  /* 33 */ "Z2_Z10\0"
21547
1.07M
  /* 40 */ "Z7_Z8_Z9_Z10\0"
21548
1.07M
  /* 53 */ "b10\0"
21549
1.07M
  /* 57 */ "d10\0"
21550
1.07M
  /* 61 */ "h10\0"
21551
1.07M
  /* 65 */ "pn10\0"
21552
1.07M
  /* 70 */ "p10\0"
21553
1.07M
  /* 74 */ "q10\0"
21554
1.07M
  /* 78 */ "s10\0"
21555
1.07M
  /* 82 */ "w10\0"
21556
1.07M
  /* 86 */ "x10\0"
21557
1.07M
  /* 90 */ "z10\0"
21558
1.07M
  /* 94 */ "D17_D18_D19_D20\0"
21559
1.07M
  /* 110 */ "Q17_Q18_Q19_Q20\0"
21560
1.07M
  /* 126 */ "Z17_Z18_Z19_Z20\0"
21561
1.07M
  /* 142 */ "b20\0"
21562
1.07M
  /* 146 */ "d20\0"
21563
1.07M
  /* 150 */ "h20\0"
21564
1.07M
  /* 154 */ "q20\0"
21565
1.07M
  /* 158 */ "s20\0"
21566
1.07M
  /* 162 */ "w20\0"
21567
1.07M
  /* 166 */ "x20\0"
21568
1.07M
  /* 170 */ "z20\0"
21569
1.07M
  /* 174 */ "D27_D28_D29_D30\0"
21570
1.07M
  /* 190 */ "Q27_Q28_Q29_Q30\0"
21571
1.07M
  /* 206 */ "Z22_Z30\0"
21572
1.07M
  /* 214 */ "Z18_Z22_Z26_Z30\0"
21573
1.07M
  /* 230 */ "Z27_Z28_Z29_Z30\0"
21574
1.07M
  /* 246 */ "b30\0"
21575
1.07M
  /* 250 */ "d30\0"
21576
1.07M
  /* 254 */ "h30\0"
21577
1.07M
  /* 258 */ "q30\0"
21578
1.07M
  /* 262 */ "s30\0"
21579
1.07M
  /* 266 */ "w30\0"
21580
1.07M
  /* 270 */ "x30\0"
21581
1.07M
  /* 274 */ "z30\0"
21582
1.07M
  /* 278 */ "D29_D30_D31_D0\0"
21583
1.07M
  /* 293 */ "P15_P0\0"
21584
1.07M
  /* 300 */ "Q29_Q30_Q31_Q0\0"
21585
1.07M
  /* 315 */ "Z29_Z30_Z31_Z0\0"
21586
1.07M
  /* 330 */ "b0\0"
21587
1.07M
  /* 333 */ "d0\0"
21588
1.07M
  /* 336 */ "h0\0"
21589
1.07M
  /* 339 */ "pn0\0"
21590
1.07M
  /* 343 */ "p0\0"
21591
1.07M
  /* 346 */ "q0\0"
21592
1.07M
  /* 349 */ "s0\0"
21593
1.07M
  /* 352 */ "zt0\0"
21594
1.07M
  /* 356 */ "w0\0"
21595
1.07M
  /* 359 */ "x0\0"
21596
1.07M
  /* 362 */ "z0\0"
21597
1.07M
  /* 365 */ "D8_D9_D10_D11\0"
21598
1.07M
  /* 379 */ "P10_P11\0"
21599
1.07M
  /* 387 */ "Q8_Q9_Q10_Q11\0"
21600
1.07M
  /* 401 */ "W10_W11\0"
21601
1.07M
  /* 409 */ "X4_X5_X6_X7_X8_X9_X10_X11\0"
21602
1.07M
  /* 435 */ "Z8_Z9_Z10_Z11\0"
21603
1.07M
  /* 449 */ "Z3_Z11\0"
21604
1.07M
  /* 456 */ "b11\0"
21605
1.07M
  /* 460 */ "d11\0"
21606
1.07M
  /* 464 */ "h11\0"
21607
1.07M
  /* 468 */ "pn11\0"
21608
1.07M
  /* 473 */ "p11\0"
21609
1.07M
  /* 477 */ "q11\0"
21610
1.07M
  /* 481 */ "s11\0"
21611
1.07M
  /* 485 */ "w11\0"
21612
1.07M
  /* 489 */ "x11\0"
21613
1.07M
  /* 493 */ "z11\0"
21614
1.07M
  /* 497 */ "D18_D19_D20_D21\0"
21615
1.07M
  /* 513 */ "Q18_Q19_Q20_Q21\0"
21616
1.07M
  /* 529 */ "W20_W21\0"
21617
1.07M
  /* 537 */ "X14_X15_X16_X17_X18_X19_X20_X21\0"
21618
1.07M
  /* 569 */ "Z18_Z19_Z20_Z21\0"
21619
1.07M
  /* 585 */ "b21\0"
21620
1.07M
  /* 589 */ "d21\0"
21621
1.07M
  /* 593 */ "h21\0"
21622
1.07M
  /* 597 */ "q21\0"
21623
1.07M
  /* 601 */ "s21\0"
21624
1.07M
  /* 605 */ "w21\0"
21625
1.07M
  /* 609 */ "x21\0"
21626
1.07M
  /* 613 */ "z21\0"
21627
1.07M
  /* 617 */ "D28_D29_D30_D31\0"
21628
1.07M
  /* 633 */ "Q28_Q29_Q30_Q31\0"
21629
1.07M
  /* 649 */ "Z28_Z29_Z30_Z31\0"
21630
1.07M
  /* 665 */ "Z23_Z31\0"
21631
1.07M
  /* 673 */ "Z19_Z23_Z27_Z31\0"
21632
1.07M
  /* 689 */ "b31\0"
21633
1.07M
  /* 693 */ "d31\0"
21634
1.07M
  /* 697 */ "h31\0"
21635
1.07M
  /* 701 */ "q31\0"
21636
1.07M
  /* 705 */ "s31\0"
21637
1.07M
  /* 709 */ "z31\0"
21638
1.07M
  /* 713 */ "D30_D31_D0_D1\0"
21639
1.07M
  /* 727 */ "P0_P1\0"
21640
1.07M
  /* 733 */ "Q30_Q31_Q0_Q1\0"
21641
1.07M
  /* 747 */ "W0_W1\0"
21642
1.07M
  /* 753 */ "X0_X1\0"
21643
1.07M
  /* 759 */ "Z30_Z31_Z0_Z1\0"
21644
1.07M
  /* 773 */ "b1\0"
21645
1.07M
  /* 776 */ "d1\0"
21646
1.07M
  /* 779 */ "h1\0"
21647
1.07M
  /* 782 */ "pn1\0"
21648
1.07M
  /* 786 */ "p1\0"
21649
1.07M
  /* 789 */ "q1\0"
21650
1.07M
  /* 792 */ "s1\0"
21651
1.07M
  /* 795 */ "w1\0"
21652
1.07M
  /* 798 */ "x1\0"
21653
1.07M
  /* 801 */ "z1\0"
21654
1.07M
  /* 804 */ "D9_D10_D11_D12\0"
21655
1.07M
  /* 819 */ "P11_P12\0"
21656
1.07M
  /* 827 */ "Q9_Q10_Q11_Q12\0"
21657
1.07M
  /* 842 */ "Z9_Z10_Z11_Z12\0"
21658
1.07M
  /* 857 */ "Z4_Z12\0"
21659
1.07M
  /* 864 */ "Z0_Z4_Z8_Z12\0"
21660
1.07M
  /* 877 */ "b12\0"
21661
1.07M
  /* 881 */ "d12\0"
21662
1.07M
  /* 885 */ "h12\0"
21663
1.07M
  /* 889 */ "pn12\0"
21664
1.07M
  /* 894 */ "p12\0"
21665
1.07M
  /* 898 */ "q12\0"
21666
1.07M
  /* 902 */ "s12\0"
21667
1.07M
  /* 906 */ "w12\0"
21668
1.07M
  /* 910 */ "x12\0"
21669
1.07M
  /* 914 */ "z12\0"
21670
1.07M
  /* 918 */ "D19_D20_D21_D22\0"
21671
1.07M
  /* 934 */ "Q19_Q20_Q21_Q22\0"
21672
1.07M
  /* 950 */ "Z19_Z20_Z21_Z22\0"
21673
1.07M
  /* 966 */ "b22\0"
21674
1.07M
  /* 970 */ "d22\0"
21675
1.07M
  /* 974 */ "h22\0"
21676
1.07M
  /* 978 */ "q22\0"
21677
1.07M
  /* 982 */ "s22\0"
21678
1.07M
  /* 986 */ "w22\0"
21679
1.07M
  /* 990 */ "x22\0"
21680
1.07M
  /* 994 */ "z22\0"
21681
1.07M
  /* 998 */ "D31_D0_D1_D2\0"
21682
1.07M
  /* 1011 */ "P1_P2\0"
21683
1.07M
  /* 1017 */ "Q31_Q0_Q1_Q2\0"
21684
1.07M
  /* 1030 */ "Z31_Z0_Z1_Z2\0"
21685
1.07M
  /* 1043 */ "b2\0"
21686
1.07M
  /* 1046 */ "d2\0"
21687
1.07M
  /* 1049 */ "h2\0"
21688
1.07M
  /* 1052 */ "pn2\0"
21689
1.07M
  /* 1056 */ "p2\0"
21690
1.07M
  /* 1059 */ "q2\0"
21691
1.07M
  /* 1062 */ "s2\0"
21692
1.07M
  /* 1065 */ "w2\0"
21693
1.07M
  /* 1068 */ "x2\0"
21694
1.07M
  /* 1071 */ "z2\0"
21695
1.07M
  /* 1074 */ "D10_D11_D12_D13\0"
21696
1.07M
  /* 1090 */ "P12_P13\0"
21697
1.07M
  /* 1098 */ "Q10_Q11_Q12_Q13\0"
21698
1.07M
  /* 1114 */ "W12_W13\0"
21699
1.07M
  /* 1122 */ "X6_X7_X8_X9_X10_X11_X12_X13\0"
21700
1.07M
  /* 1150 */ "Z10_Z11_Z12_Z13\0"
21701
1.07M
  /* 1166 */ "Z5_Z13\0"
21702
1.07M
  /* 1173 */ "Z1_Z5_Z9_Z13\0"
21703
1.07M
  /* 1186 */ "b13\0"
21704
1.07M
  /* 1190 */ "d13\0"
21705
1.07M
  /* 1194 */ "h13\0"
21706
1.07M
  /* 1198 */ "pn13\0"
21707
1.07M
  /* 1203 */ "p13\0"
21708
1.07M
  /* 1207 */ "q13\0"
21709
1.07M
  /* 1211 */ "s13\0"
21710
1.07M
  /* 1215 */ "w13\0"
21711
1.07M
  /* 1219 */ "x13\0"
21712
1.07M
  /* 1223 */ "z13\0"
21713
1.07M
  /* 1227 */ "D20_D21_D22_D23\0"
21714
1.07M
  /* 1243 */ "Q20_Q21_Q22_Q23\0"
21715
1.07M
  /* 1259 */ "W22_W23\0"
21716
1.07M
  /* 1267 */ "X16_X17_X18_X19_X20_X21_X22_X23\0"
21717
1.07M
  /* 1299 */ "Z20_Z21_Z22_Z23\0"
21718
1.07M
  /* 1315 */ "b23\0"
21719
1.07M
  /* 1319 */ "d23\0"
21720
1.07M
  /* 1323 */ "h23\0"
21721
1.07M
  /* 1327 */ "q23\0"
21722
1.07M
  /* 1331 */ "s23\0"
21723
1.07M
  /* 1335 */ "w23\0"
21724
1.07M
  /* 1339 */ "x23\0"
21725
1.07M
  /* 1343 */ "z23\0"
21726
1.07M
  /* 1347 */ "D0_D1_D2_D3\0"
21727
1.07M
  /* 1359 */ "P2_P3\0"
21728
1.07M
  /* 1365 */ "Q0_Q1_Q2_Q3\0"
21729
1.07M
  /* 1377 */ "W2_W3\0"
21730
1.07M
  /* 1383 */ "X2_X3\0"
21731
1.07M
  /* 1389 */ "Z0_Z1_Z2_Z3\0"
21732
1.07M
  /* 1401 */ "b3\0"
21733
1.07M
  /* 1404 */ "d3\0"
21734
1.07M
  /* 1407 */ "h3\0"
21735
1.07M
  /* 1410 */ "pn3\0"
21736
1.07M
  /* 1414 */ "p3\0"
21737
1.07M
  /* 1417 */ "q3\0"
21738
1.07M
  /* 1420 */ "s3\0"
21739
1.07M
  /* 1423 */ "w3\0"
21740
1.07M
  /* 1426 */ "x3\0"
21741
1.07M
  /* 1429 */ "z3\0"
21742
1.07M
  /* 1432 */ "D11_D12_D13_D14\0"
21743
1.07M
  /* 1448 */ "P13_P14\0"
21744
1.07M
  /* 1456 */ "Q11_Q12_Q13_Q14\0"
21745
1.07M
  /* 1472 */ "Z2_Z6_Z10_Z14\0"
21746
1.07M
  /* 1486 */ "Z11_Z12_Z13_Z14\0"
21747
1.07M
  /* 1502 */ "Z6_Z14\0"
21748
1.07M
  /* 1509 */ "b14\0"
21749
1.07M
  /* 1513 */ "d14\0"
21750
1.07M
  /* 1517 */ "h14\0"
21751
1.07M
  /* 1521 */ "pn14\0"
21752
1.07M
  /* 1526 */ "p14\0"
21753
1.07M
  /* 1530 */ "q14\0"
21754
1.07M
  /* 1534 */ "s14\0"
21755
1.07M
  /* 1538 */ "w14\0"
21756
1.07M
  /* 1542 */ "x14\0"
21757
1.07M
  /* 1546 */ "z14\0"
21758
1.07M
  /* 1550 */ "D21_D22_D23_D24\0"
21759
1.07M
  /* 1566 */ "Q21_Q22_Q23_Q24\0"
21760
1.07M
  /* 1582 */ "Z21_Z22_Z23_Z24\0"
21761
1.07M
  /* 1598 */ "Z16_Z24\0"
21762
1.07M
  /* 1606 */ "b24\0"
21763
1.07M
  /* 1610 */ "d24\0"
21764
1.07M
  /* 1614 */ "h24\0"
21765
1.07M
  /* 1618 */ "q24\0"
21766
1.07M
  /* 1622 */ "s24\0"
21767
1.07M
  /* 1626 */ "w24\0"
21768
1.07M
  /* 1630 */ "x24\0"
21769
1.07M
  /* 1634 */ "z24\0"
21770
1.07M
  /* 1638 */ "D1_D2_D3_D4\0"
21771
1.07M
  /* 1650 */ "P3_P4\0"
21772
1.07M
  /* 1656 */ "Q1_Q2_Q3_Q4\0"
21773
1.07M
  /* 1668 */ "Z1_Z2_Z3_Z4\0"
21774
1.07M
  /* 1680 */ "b4\0"
21775
1.07M
  /* 1683 */ "d4\0"
21776
1.07M
  /* 1686 */ "h4\0"
21777
1.07M
  /* 1689 */ "pn4\0"
21778
1.07M
  /* 1693 */ "p4\0"
21779
1.07M
  /* 1696 */ "q4\0"
21780
1.07M
  /* 1699 */ "s4\0"
21781
1.07M
  /* 1702 */ "w4\0"
21782
1.07M
  /* 1705 */ "x4\0"
21783
1.07M
  /* 1708 */ "z4\0"
21784
1.07M
  /* 1711 */ "D12_D13_D14_D15\0"
21785
1.07M
  /* 1727 */ "P14_P15\0"
21786
1.07M
  /* 1735 */ "Q12_Q13_Q14_Q15\0"
21787
1.07M
  /* 1751 */ "W14_W15\0"
21788
1.07M
  /* 1759 */ "X8_X9_X10_X11_X12_X13_X14_X15\0"
21789
1.07M
  /* 1789 */ "Z3_Z7_Z11_Z15\0"
21790
1.07M
  /* 1803 */ "Z12_Z13_Z14_Z15\0"
21791
1.07M
  /* 1819 */ "Z7_Z15\0"
21792
1.07M
  /* 1826 */ "b15\0"
21793
1.07M
  /* 1830 */ "d15\0"
21794
1.07M
  /* 1834 */ "h15\0"
21795
1.07M
  /* 1838 */ "pn15\0"
21796
1.07M
  /* 1843 */ "p15\0"
21797
1.07M
  /* 1847 */ "q15\0"
21798
1.07M
  /* 1851 */ "s15\0"
21799
1.07M
  /* 1855 */ "w15\0"
21800
1.07M
  /* 1859 */ "x15\0"
21801
1.07M
  /* 1863 */ "z15\0"
21802
1.07M
  /* 1867 */ "D22_D23_D24_D25\0"
21803
1.07M
  /* 1883 */ "Q22_Q23_Q24_Q25\0"
21804
1.07M
  /* 1899 */ "W24_W25\0"
21805
1.07M
  /* 1907 */ "X18_X19_X20_X21_X22_X23_X24_X25\0"
21806
1.07M
  /* 1939 */ "Z22_Z23_Z24_Z25\0"
21807
1.07M
  /* 1955 */ "Z17_Z25\0"
21808
1.07M
  /* 1963 */ "b25\0"
21809
1.07M
  /* 1967 */ "d25\0"
21810
1.07M
  /* 1971 */ "h25\0"
21811
1.07M
  /* 1975 */ "q25\0"
21812
1.07M
  /* 1979 */ "s25\0"
21813
1.07M
  /* 1983 */ "w25\0"
21814
1.07M
  /* 1987 */ "x25\0"
21815
1.07M
  /* 1991 */ "z25\0"
21816
1.07M
  /* 1995 */ "D2_D3_D4_D5\0"
21817
1.07M
  /* 2007 */ "P4_P5\0"
21818
1.07M
  /* 2013 */ "Q2_Q3_Q4_Q5\0"
21819
1.07M
  /* 2025 */ "W4_W5\0"
21820
1.07M
  /* 2031 */ "X4_X5\0"
21821
1.07M
  /* 2037 */ "Z2_Z3_Z4_Z5\0"
21822
1.07M
  /* 2049 */ "b5\0"
21823
1.07M
  /* 2052 */ "d5\0"
21824
1.07M
  /* 2055 */ "h5\0"
21825
1.07M
  /* 2058 */ "pn5\0"
21826
1.07M
  /* 2062 */ "p5\0"
21827
1.07M
  /* 2065 */ "q5\0"
21828
1.07M
  /* 2068 */ "s5\0"
21829
1.07M
  /* 2071 */ "w5\0"
21830
1.07M
  /* 2074 */ "x5\0"
21831
1.07M
  /* 2077 */ "z5\0"
21832
1.07M
  /* 2080 */ "D13_D14_D15_D16\0"
21833
1.07M
  /* 2096 */ "Q13_Q14_Q15_Q16\0"
21834
1.07M
  /* 2112 */ "Z13_Z14_Z15_Z16\0"
21835
1.07M
  /* 2128 */ "b16\0"
21836
1.07M
  /* 2132 */ "d16\0"
21837
1.07M
  /* 2136 */ "h16\0"
21838
1.07M
  /* 2140 */ "q16\0"
21839
1.07M
  /* 2144 */ "s16\0"
21840
1.07M
  /* 2148 */ "w16\0"
21841
1.07M
  /* 2152 */ "x16\0"
21842
1.07M
  /* 2156 */ "z16\0"
21843
1.07M
  /* 2160 */ "D23_D24_D25_D26\0"
21844
1.07M
  /* 2176 */ "Q23_Q24_Q25_Q26\0"
21845
1.07M
  /* 2192 */ "Z23_Z24_Z25_Z26\0"
21846
1.07M
  /* 2208 */ "Z18_Z26\0"
21847
1.07M
  /* 2216 */ "b26\0"
21848
1.07M
  /* 2220 */ "d26\0"
21849
1.07M
  /* 2224 */ "h26\0"
21850
1.07M
  /* 2228 */ "q26\0"
21851
1.07M
  /* 2232 */ "s26\0"
21852
1.07M
  /* 2236 */ "w26\0"
21853
1.07M
  /* 2240 */ "x26\0"
21854
1.07M
  /* 2244 */ "z26\0"
21855
1.07M
  /* 2248 */ "D3_D4_D5_D6\0"
21856
1.07M
  /* 2260 */ "P5_P6\0"
21857
1.07M
  /* 2266 */ "Q3_Q4_Q5_Q6\0"
21858
1.07M
  /* 2278 */ "Z3_Z4_Z5_Z6\0"
21859
1.07M
  /* 2290 */ "b6\0"
21860
1.07M
  /* 2293 */ "d6\0"
21861
1.07M
  /* 2296 */ "h6\0"
21862
1.07M
  /* 2299 */ "pn6\0"
21863
1.07M
  /* 2303 */ "p6\0"
21864
1.07M
  /* 2306 */ "q6\0"
21865
1.07M
  /* 2309 */ "s6\0"
21866
1.07M
  /* 2312 */ "w6\0"
21867
1.07M
  /* 2315 */ "x6\0"
21868
1.07M
  /* 2318 */ "z6\0"
21869
1.07M
  /* 2321 */ "D14_D15_D16_D17\0"
21870
1.07M
  /* 2337 */ "Q14_Q15_Q16_Q17\0"
21871
1.07M
  /* 2353 */ "W16_W17\0"
21872
1.07M
  /* 2361 */ "X10_X11_X12_X13_X14_X15_X16_X17\0"
21873
1.07M
  /* 2393 */ "Z14_Z15_Z16_Z17\0"
21874
1.07M
  /* 2409 */ "b17\0"
21875
1.07M
  /* 2413 */ "d17\0"
21876
1.07M
  /* 2417 */ "h17\0"
21877
1.07M
  /* 2421 */ "q17\0"
21878
1.07M
  /* 2425 */ "s17\0"
21879
1.07M
  /* 2429 */ "w17\0"
21880
1.07M
  /* 2433 */ "x17\0"
21881
1.07M
  /* 2437 */ "z17\0"
21882
1.07M
  /* 2441 */ "D24_D25_D26_D27\0"
21883
1.07M
  /* 2457 */ "Q24_Q25_Q26_Q27\0"
21884
1.07M
  /* 2473 */ "W26_W27\0"
21885
1.07M
  /* 2481 */ "X20_X21_X22_X23_X24_X25_X26_X27\0"
21886
1.07M
  /* 2513 */ "Z24_Z25_Z26_Z27\0"
21887
1.07M
  /* 2529 */ "Z19_Z27\0"
21888
1.07M
  /* 2537 */ "b27\0"
21889
1.07M
  /* 2541 */ "d27\0"
21890
1.07M
  /* 2545 */ "h27\0"
21891
1.07M
  /* 2549 */ "q27\0"
21892
1.07M
  /* 2553 */ "s27\0"
21893
1.07M
  /* 2557 */ "w27\0"
21894
1.07M
  /* 2561 */ "x27\0"
21895
1.07M
  /* 2565 */ "z27\0"
21896
1.07M
  /* 2569 */ "D4_D5_D6_D7\0"
21897
1.07M
  /* 2581 */ "P6_P7\0"
21898
1.07M
  /* 2587 */ "Q4_Q5_Q6_Q7\0"
21899
1.07M
  /* 2599 */ "W6_W7\0"
21900
1.07M
  /* 2605 */ "X0_X1_X2_X3_X4_X5_X6_X7\0"
21901
1.07M
  /* 2629 */ "Z4_Z5_Z6_Z7\0"
21902
1.07M
  /* 2641 */ "b7\0"
21903
1.07M
  /* 2644 */ "d7\0"
21904
1.07M
  /* 2647 */ "h7\0"
21905
1.07M
  /* 2650 */ "pn7\0"
21906
1.07M
  /* 2654 */ "p7\0"
21907
1.07M
  /* 2657 */ "q7\0"
21908
1.07M
  /* 2660 */ "s7\0"
21909
1.07M
  /* 2663 */ "w7\0"
21910
1.07M
  /* 2666 */ "x7\0"
21911
1.07M
  /* 2669 */ "z7\0"
21912
1.07M
  /* 2672 */ "D15_D16_D17_D18\0"
21913
1.07M
  /* 2688 */ "Q15_Q16_Q17_Q18\0"
21914
1.07M
  /* 2704 */ "Z15_Z16_Z17_Z18\0"
21915
1.07M
  /* 2720 */ "b18\0"
21916
1.07M
  /* 2724 */ "d18\0"
21917
1.07M
  /* 2728 */ "h18\0"
21918
1.07M
  /* 2732 */ "q18\0"
21919
1.07M
  /* 2736 */ "s18\0"
21920
1.07M
  /* 2740 */ "w18\0"
21921
1.07M
  /* 2744 */ "x18\0"
21922
1.07M
  /* 2748 */ "z18\0"
21923
1.07M
  /* 2752 */ "D25_D26_D27_D28\0"
21924
1.07M
  /* 2768 */ "Q25_Q26_Q27_Q28\0"
21925
1.07M
  /* 2784 */ "Z20_Z28\0"
21926
1.07M
  /* 2792 */ "Z16_Z20_Z24_Z28\0"
21927
1.07M
  /* 2808 */ "Z25_Z26_Z27_Z28\0"
21928
1.07M
  /* 2824 */ "b28\0"
21929
1.07M
  /* 2828 */ "d28\0"
21930
1.07M
  /* 2832 */ "h28\0"
21931
1.07M
  /* 2836 */ "q28\0"
21932
1.07M
  /* 2840 */ "s28\0"
21933
1.07M
  /* 2844 */ "w28\0"
21934
1.07M
  /* 2848 */ "x28\0"
21935
1.07M
  /* 2852 */ "z28\0"
21936
1.07M
  /* 2856 */ "D5_D6_D7_D8\0"
21937
1.07M
  /* 2868 */ "P7_P8\0"
21938
1.07M
  /* 2874 */ "Q5_Q6_Q7_Q8\0"
21939
1.07M
  /* 2886 */ "Z0_Z8\0"
21940
1.07M
  /* 2892 */ "Z5_Z6_Z7_Z8\0"
21941
1.07M
  /* 2904 */ "b8\0"
21942
1.07M
  /* 2907 */ "d8\0"
21943
1.07M
  /* 2910 */ "h8\0"
21944
1.07M
  /* 2913 */ "pn8\0"
21945
1.07M
  /* 2917 */ "p8\0"
21946
1.07M
  /* 2920 */ "q8\0"
21947
1.07M
  /* 2923 */ "s8\0"
21948
1.07M
  /* 2926 */ "w8\0"
21949
1.07M
  /* 2929 */ "x8\0"
21950
1.07M
  /* 2932 */ "z8\0"
21951
1.07M
  /* 2935 */ "D16_D17_D18_D19\0"
21952
1.07M
  /* 2951 */ "Q16_Q17_Q18_Q19\0"
21953
1.07M
  /* 2967 */ "W18_W19\0"
21954
1.07M
  /* 2975 */ "X12_X13_X14_X15_X16_X17_X18_X19\0"
21955
1.07M
  /* 3007 */ "Z16_Z17_Z18_Z19\0"
21956
1.07M
  /* 3023 */ "b19\0"
21957
1.07M
  /* 3027 */ "d19\0"
21958
1.07M
  /* 3031 */ "h19\0"
21959
1.07M
  /* 3035 */ "q19\0"
21960
1.07M
  /* 3039 */ "s19\0"
21961
1.07M
  /* 3043 */ "w19\0"
21962
1.07M
  /* 3047 */ "x19\0"
21963
1.07M
  /* 3051 */ "z19\0"
21964
1.07M
  /* 3055 */ "D26_D27_D28_D29\0"
21965
1.07M
  /* 3071 */ "Q26_Q27_Q28_Q29\0"
21966
1.07M
  /* 3087 */ "W28_W29\0"
21967
1.07M
  /* 3095 */ "Z21_Z29\0"
21968
1.07M
  /* 3103 */ "Z17_Z21_Z25_Z29\0"
21969
1.07M
  /* 3119 */ "Z26_Z27_Z28_Z29\0"
21970
1.07M
  /* 3135 */ "b29\0"
21971
1.07M
  /* 3139 */ "d29\0"
21972
1.07M
  /* 3143 */ "h29\0"
21973
1.07M
  /* 3147 */ "q29\0"
21974
1.07M
  /* 3151 */ "s29\0"
21975
1.07M
  /* 3155 */ "w29\0"
21976
1.07M
  /* 3159 */ "x29\0"
21977
1.07M
  /* 3163 */ "z29\0"
21978
1.07M
  /* 3167 */ "D6_D7_D8_D9\0"
21979
1.07M
  /* 3179 */ "P8_P9\0"
21980
1.07M
  /* 3185 */ "Q6_Q7_Q8_Q9\0"
21981
1.07M
  /* 3197 */ "W8_W9\0"
21982
1.07M
  /* 3203 */ "X2_X3_X4_X5_X6_X7_X8_X9\0"
21983
1.07M
  /* 3227 */ "Z1_Z9\0"
21984
1.07M
  /* 3233 */ "Z6_Z7_Z8_Z9\0"
21985
1.07M
  /* 3245 */ "b9\0"
21986
1.07M
  /* 3248 */ "d9\0"
21987
1.07M
  /* 3251 */ "h9\0"
21988
1.07M
  /* 3254 */ "pn9\0"
21989
1.07M
  /* 3258 */ "p9\0"
21990
1.07M
  /* 3261 */ "q9\0"
21991
1.07M
  /* 3264 */ "s9\0"
21992
1.07M
  /* 3267 */ "w9\0"
21993
1.07M
  /* 3270 */ "x9\0"
21994
1.07M
  /* 3273 */ "z9\0"
21995
1.07M
  /* 3276 */ "X22_X23_X24_X25_X26_X27_X28_FP\0"
21996
1.07M
  /* 3307 */ "W30_WZR\0"
21997
1.07M
  /* 3315 */ "LR_XZR\0"
21998
1.07M
  /* 3322 */ "za\0"
21999
1.07M
  /* 3325 */ "za0.b\0"
22000
1.07M
  /* 3331 */ "za0.d\0"
22001
1.07M
  /* 3337 */ "za1.d\0"
22002
1.07M
  /* 3343 */ "za2.d\0"
22003
1.07M
  /* 3349 */ "za3.d\0"
22004
1.07M
  /* 3355 */ "za4.d\0"
22005
1.07M
  /* 3361 */ "za5.d\0"
22006
1.07M
  /* 3367 */ "za6.d\0"
22007
1.07M
  /* 3373 */ "za7.d\0"
22008
1.07M
  /* 3379 */ "vg\0"
22009
1.07M
  /* 3382 */ "za0.h\0"
22010
1.07M
  /* 3388 */ "za1.h\0"
22011
1.07M
  /* 3394 */ "wsp\0"
22012
1.07M
  /* 3398 */ "za10.q\0"
22013
1.07M
  /* 3405 */ "za0.q\0"
22014
1.07M
  /* 3411 */ "za11.q\0"
22015
1.07M
  /* 3418 */ "za1.q\0"
22016
1.07M
  /* 3424 */ "za12.q\0"
22017
1.07M
  /* 3431 */ "za2.q\0"
22018
1.07M
  /* 3437 */ "za13.q\0"
22019
1.07M
  /* 3444 */ "za3.q\0"
22020
1.07M
  /* 3450 */ "za14.q\0"
22021
1.07M
  /* 3457 */ "za4.q\0"
22022
1.07M
  /* 3463 */ "za15.q\0"
22023
1.07M
  /* 3470 */ "za5.q\0"
22024
1.07M
  /* 3476 */ "za6.q\0"
22025
1.07M
  /* 3482 */ "za7.q\0"
22026
1.07M
  /* 3488 */ "za8.q\0"
22027
1.07M
  /* 3494 */ "za9.q\0"
22028
1.07M
  /* 3500 */ "fpcr\0"
22029
1.07M
  /* 3505 */ "ffr\0"
22030
1.07M
  /* 3509 */ "wzr\0"
22031
1.07M
  /* 3513 */ "xzr\0"
22032
1.07M
  /* 3517 */ "za0.s\0"
22033
1.07M
  /* 3523 */ "za1.s\0"
22034
1.07M
  /* 3529 */ "za2.s\0"
22035
1.07M
  /* 3535 */ "za3.s\0"
22036
1.07M
  /* 3541 */ "nzcv\0"
22037
1.07M
};
22038
1.07M
  static const uint16_t RegAsmOffsetNoRegAltName[] = {
22039
1.07M
    3505, 3159, 3500, 270, 3541, 3395, 3379, 3394, 3509, 3513, 3322, 330, 773, 1043, 
22040
1.07M
    1401, 1680, 2049, 2290, 2641, 2904, 3245, 53, 456, 877, 1186, 1509, 1826, 2128, 
22041
1.07M
    2409, 2720, 3023, 142, 585, 966, 1315, 1606, 1963, 2216, 2537, 2824, 3135, 246, 
22042
1.07M
    689, 333, 776, 1046, 1404, 1683, 2052, 2293, 2644, 2907, 3248, 57, 460, 881, 
22043
1.07M
    1190, 1513, 1830, 2132, 2413, 2724, 3027, 146, 589, 970, 1319, 1610, 1967, 2220, 
22044
1.07M
    2541, 2828, 3139, 250, 693, 336, 779, 1049, 1407, 1686, 2055, 2296, 2647, 2910, 
22045
1.07M
    3251, 61, 464, 885, 1194, 1517, 1834, 2136, 2417, 2728, 3031, 150, 593, 974, 
22046
1.07M
    1323, 1614, 1971, 2224, 2545, 2832, 3143, 254, 697, 343, 786, 1056, 1414, 1693, 
22047
1.07M
    2062, 2303, 2654, 2917, 3258, 70, 473, 894, 1203, 1526, 1843, 339, 782, 1052, 
22048
1.07M
    1410, 1689, 2058, 2299, 2650, 2913, 3254, 65, 468, 889, 1198, 1521, 1838, 346, 
22049
1.07M
    789, 1059, 1417, 1696, 2065, 2306, 2657, 2920, 3261, 74, 477, 898, 1207, 1530, 
22050
1.07M
    1847, 2140, 2421, 2732, 3035, 154, 597, 978, 1327, 1618, 1975, 2228, 2549, 2836, 
22051
1.07M
    3147, 258, 701, 349, 792, 1062, 1420, 1699, 2068, 2309, 2660, 2923, 3264, 78, 
22052
1.07M
    481, 902, 1211, 1534, 1851, 2144, 2425, 2736, 3039, 158, 601, 982, 1331, 1622, 
22053
1.07M
    1979, 2232, 2553, 2840, 3151, 262, 705, 356, 795, 1065, 1423, 1702, 2071, 2312, 
22054
1.07M
    2663, 2926, 3267, 82, 485, 906, 1215, 1538, 1855, 2148, 2429, 2740, 3043, 162, 
22055
1.07M
    605, 986, 1335, 1626, 1983, 2236, 2557, 2844, 3155, 266, 359, 798, 1068, 1426, 
22056
1.07M
    1705, 2074, 2315, 2666, 2929, 3270, 86, 489, 910, 1219, 1542, 1859, 2152, 2433, 
22057
1.07M
    2744, 3047, 166, 609, 990, 1339, 1630, 1987, 2240, 2561, 2848, 362, 801, 1071, 
22058
1.07M
    1429, 1708, 2077, 2318, 2669, 2932, 3273, 90, 493, 914, 1223, 1546, 1863, 2156, 
22059
1.07M
    2437, 2748, 3051, 170, 613, 994, 1343, 1634, 1991, 2244, 2565, 2852, 3163, 274, 
22060
1.07M
    709, 3325, 3331, 3337, 3343, 3349, 3355, 3361, 3367, 3373, 3382, 3388, 3405, 3418, 
22061
1.07M
    3431, 3444, 3457, 3470, 3476, 3482, 3488, 3494, 3398, 3411, 3424, 3437, 3450, 3463, 
22062
1.07M
    3517, 3523, 3529, 3535, 352, 721, 1005, 1353, 1644, 2001, 2254, 2575, 2862, 3173, 
22063
1.07M
    6, 371, 811, 1082, 1440, 1719, 2088, 2329, 2680, 2943, 102, 505, 926, 1235, 
22064
1.07M
    1558, 1875, 2168, 2449, 2760, 3063, 182, 625, 286, 1347, 1638, 1995, 2248, 2569, 
22065
1.07M
    2856, 3167, 0, 365, 804, 1074, 1432, 1711, 2080, 2321, 2672, 2935, 94, 497, 
22066
1.07M
    918, 1227, 1550, 1867, 2160, 2441, 2752, 3055, 174, 617, 278, 713, 998, 1002, 
22067
1.07M
    1350, 1641, 1998, 2251, 2572, 2859, 3170, 3, 368, 807, 1078, 1436, 1715, 2084, 
22068
1.07M
    2325, 2676, 2939, 98, 501, 922, 1231, 1554, 1871, 2164, 2445, 2756, 3059, 178, 
22069
1.07M
    621, 282, 717, 727, 1011, 1359, 1650, 2007, 2260, 2581, 2868, 3179, 13, 379, 
22070
1.07M
    819, 1090, 1448, 1727, 293, 741, 1024, 1371, 1662, 2019, 2272, 2593, 2880, 3191, 
22071
1.07M
    26, 393, 834, 1106, 1464, 1743, 2104, 2345, 2696, 2959, 118, 521, 942, 1251, 
22072
1.07M
    1574, 1891, 2184, 2465, 2776, 3079, 198, 641, 308, 1365, 1656, 2013, 2266, 2587, 
22073
1.07M
    2874, 3185, 20, 387, 827, 1098, 1456, 1735, 2096, 2337, 2688, 2951, 110, 513, 
22074
1.07M
    934, 1243, 1566, 1883, 2176, 2457, 2768, 3071, 190, 633, 300, 733, 1017, 1021, 
22075
1.07M
    1368, 1659, 2016, 2269, 2590, 2877, 3188, 23, 390, 830, 1102, 1460, 1739, 2100, 
22076
1.07M
    2341, 2692, 2955, 114, 517, 938, 1247, 1570, 1887, 2180, 2461, 2772, 3075, 194, 
22077
1.07M
    637, 304, 737, 3276, 2605, 3203, 409, 1122, 1759, 2361, 2975, 537, 1267, 1907, 
22078
1.07M
    2481, 3307, 747, 1377, 2025, 2599, 3197, 401, 1114, 1751, 2353, 2967, 529, 1259, 
22079
1.07M
    1899, 2473, 3087, 3315, 3300, 753, 1383, 2031, 2623, 3221, 427, 1142, 1781, 2385, 
22080
1.07M
    2999, 561, 1291, 1931, 2505, 767, 1037, 1395, 1674, 2043, 2284, 2635, 2898, 3239, 
22081
1.07M
    46, 441, 849, 1158, 1494, 1811, 2120, 2401, 2712, 3015, 134, 577, 958, 1307, 
22082
1.07M
    1590, 1947, 2200, 2521, 2816, 3127, 238, 657, 323, 1389, 1668, 2037, 2278, 2629, 
22083
1.07M
    2892, 3233, 40, 435, 842, 1150, 1486, 1803, 2112, 2393, 2704, 3007, 126, 569, 
22084
1.07M
    950, 1299, 1582, 1939, 2192, 2513, 2808, 3119, 230, 649, 315, 759, 1030, 1034, 
22085
1.07M
    1392, 1671, 2040, 2281, 2632, 2895, 3236, 43, 438, 845, 1154, 1490, 1807, 2116, 
22086
1.07M
    2397, 2708, 3011, 130, 573, 954, 1303, 1586, 1943, 2196, 2517, 2812, 3123, 234, 
22087
1.07M
    653, 319, 763, 1598, 1955, 2208, 2529, 2784, 3095, 206, 665, 2886, 3227, 33, 
22088
1.07M
    449, 857, 1166, 1502, 1819, 2792, 3103, 214, 673, 864, 1173, 1472, 1789, 
22089
1.07M
  };
22090
22091
1.07M
  static const char AsmStrsvlist1[] = {
22092
1.07M
  /* 0 */ "\0"
22093
1.07M
};
22094
1.07M
  static const uint8_t RegAsmOffsetvlist1[] = {
22095
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22096
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22097
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22098
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22099
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22100
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22101
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22102
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22103
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22104
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22105
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22106
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22107
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22108
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22109
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22110
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22111
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22112
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22113
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22114
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22115
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22116
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22117
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22118
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22119
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22120
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22121
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22122
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22123
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22124
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22125
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22126
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22127
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22128
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22129
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22130
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22131
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22132
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22133
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22134
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22135
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22136
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22137
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22138
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22139
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22140
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22141
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22142
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22143
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22144
1.07M
    0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
22145
1.07M
  };
22146
22147
1.07M
  static const char AsmStrsvreg[] = {
22148
1.07M
  /* 0 */ "v10\0"
22149
1.07M
  /* 4 */ "v20\0"
22150
1.07M
  /* 8 */ "v30\0"
22151
1.07M
  /* 12 */ "v0\0"
22152
1.07M
  /* 15 */ "v11\0"
22153
1.07M
  /* 19 */ "v21\0"
22154
1.07M
  /* 23 */ "v31\0"
22155
1.07M
  /* 27 */ "v1\0"
22156
1.07M
  /* 30 */ "v12\0"
22157
1.07M
  /* 34 */ "v22\0"
22158
1.07M
  /* 38 */ "v2\0"
22159
1.07M
  /* 41 */ "v13\0"
22160
1.07M
  /* 45 */ "v23\0"
22161
1.07M
  /* 49 */ "v3\0"
22162
1.07M
  /* 52 */ "v14\0"
22163
1.07M
  /* 56 */ "v24\0"
22164
1.07M
  /* 60 */ "v4\0"
22165
1.07M
  /* 63 */ "v15\0"
22166
1.07M
  /* 67 */ "v25\0"
22167
1.07M
  /* 71 */ "v5\0"
22168
1.07M
  /* 74 */ "v16\0"
22169
1.07M
  /* 78 */ "v26\0"
22170
1.07M
  /* 82 */ "v6\0"
22171
1.07M
  /* 85 */ "v17\0"
22172
1.07M
  /* 89 */ "v27\0"
22173
1.07M
  /* 93 */ "v7\0"
22174
1.07M
  /* 96 */ "v18\0"
22175
1.07M
  /* 100 */ "v28\0"
22176
1.07M
  /* 104 */ "v8\0"
22177
1.07M
  /* 107 */ "v19\0"
22178
1.07M
  /* 111 */ "v29\0"
22179
1.07M
  /* 115 */ "v9\0"
22180
1.07M
};
22181
1.07M
  static const uint8_t RegAsmOffsetvreg[] = {
22182
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22183
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22184
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22185
1.07M
    3, 12, 27, 38, 49, 60, 71, 82, 93, 104, 115, 0, 15, 30, 
22186
1.07M
    41, 52, 63, 74, 85, 96, 107, 4, 19, 34, 45, 56, 67, 78, 
22187
1.07M
    89, 100, 111, 8, 23, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22188
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22189
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22190
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22191
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 12, 
22192
1.07M
    27, 38, 49, 60, 71, 82, 93, 104, 115, 0, 15, 30, 41, 52, 
22193
1.07M
    63, 74, 85, 96, 107, 4, 19, 34, 45, 56, 67, 78, 89, 100, 
22194
1.07M
    111, 8, 23, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22195
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22196
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22197
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22198
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22199
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22200
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22201
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22202
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22203
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22204
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22205
1.07M
    3, 3, 3, 3, 3, 12, 27, 38, 49, 60, 71, 82, 93, 104, 
22206
1.07M
    115, 0, 15, 30, 41, 52, 63, 74, 85, 96, 107, 4, 19, 34, 
22207
1.07M
    45, 56, 67, 78, 89, 100, 111, 8, 23, 12, 27, 38, 49, 60, 
22208
1.07M
    71, 82, 93, 104, 115, 0, 15, 30, 41, 52, 63, 74, 85, 96, 
22209
1.07M
    107, 4, 19, 34, 45, 56, 67, 78, 89, 100, 111, 8, 23, 12, 
22210
1.07M
    27, 38, 49, 60, 71, 82, 93, 104, 115, 0, 15, 30, 41, 52, 
22211
1.07M
    63, 74, 85, 96, 107, 4, 19, 34, 45, 56, 67, 78, 89, 100, 
22212
1.07M
    111, 8, 23, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22213
1.07M
    3, 3, 3, 3, 3, 12, 27, 38, 49, 60, 71, 82, 93, 104, 
22214
1.07M
    115, 0, 15, 30, 41, 52, 63, 74, 85, 96, 107, 4, 19, 34, 
22215
1.07M
    45, 56, 67, 78, 89, 100, 111, 8, 23, 12, 27, 38, 49, 60, 
22216
1.07M
    71, 82, 93, 104, 115, 0, 15, 30, 41, 52, 63, 74, 85, 96, 
22217
1.07M
    107, 4, 19, 34, 45, 56, 67, 78, 89, 100, 111, 8, 23, 12, 
22218
1.07M
    27, 38, 49, 60, 71, 82, 93, 104, 115, 0, 15, 30, 41, 52, 
22219
1.07M
    63, 74, 85, 96, 107, 4, 19, 34, 45, 56, 67, 78, 89, 100, 
22220
1.07M
    111, 8, 23, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22221
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22222
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22223
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22224
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22225
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22226
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22227
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22228
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22229
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22230
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22231
1.07M
    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
22232
1.07M
  };
22233
22234
1.07M
  switch(AltIdx) {
22235
0
  default: assert(0 && "Invalid register alt name index!");
22236
951k
  case AArch64_NoRegAltName:
22237
951k
    assert(*(AsmStrsNoRegAltName+RegAsmOffsetNoRegAltName[RegNo-1]) &&
22238
951k
           "Invalid alt name index for register!");
22239
951k
    return AsmStrsNoRegAltName+RegAsmOffsetNoRegAltName[RegNo-1];
22240
0
  case AArch64_vlist1:
22241
0
    assert(*(AsmStrsvlist1+RegAsmOffsetvlist1[RegNo-1]) &&
22242
0
           "Invalid alt name index for register!");
22243
0
    return AsmStrsvlist1+RegAsmOffsetvlist1[RegNo-1];
22244
125k
  case AArch64_vreg:
22245
125k
    assert(*(AsmStrsvreg+RegAsmOffsetvreg[RegNo-1]) &&
22246
125k
           "Invalid alt name index for register!");
22247
125k
    return AsmStrsvreg+RegAsmOffsetvreg[RegNo-1];
22248
1.07M
  }
22249
#else
22250
  return NULL;
22251
#endif // CAPSTONE_DIET
22252
1.07M
}
22253
#ifdef PRINT_ALIAS_INSTR
22254
#undef PRINT_ALIAS_INSTR
22255
22256
static bool AArch64InstPrinterValidateMCOperand(const MCOperand *MCOp,
22257
                  unsigned PredicateIndex);
22258
329k
static bool printAliasInstr(MCInst *MI, uint64_t Address, SStream *OS) {
22259
329k
#ifndef CAPSTONE_DIET
22260
329k
  static const PatternsForOpcode OpToPatterns[] = {
22261
329k
    {AArch64_ADDPT_shift, 0, 1 },
22262
329k
    {AArch64_ADDSWri, 1, 1 },
22263
329k
    {AArch64_ADDSWrs, 2, 3 },
22264
329k
    {AArch64_ADDSWrx, 5, 3 },
22265
329k
    {AArch64_ADDSXri, 8, 1 },
22266
329k
    {AArch64_ADDSXrs, 9, 3 },
22267
329k
    {AArch64_ADDSXrx, 12, 1 },
22268
329k
    {AArch64_ADDSXrx64, 13, 3 },
22269
329k
    {AArch64_ADDWri, 16, 2 },
22270
329k
    {AArch64_ADDWrs, 18, 1 },
22271
329k
    {AArch64_ADDWrx, 19, 2 },
22272
329k
    {AArch64_ADDXri, 21, 2 },
22273
329k
    {AArch64_ADDXrs, 23, 1 },
22274
329k
    {AArch64_ADDXrx64, 24, 2 },
22275
329k
    {AArch64_ANDSWri, 26, 1 },
22276
329k
    {AArch64_ANDSWrs, 27, 3 },
22277
329k
    {AArch64_ANDSXri, 30, 1 },
22278
329k
    {AArch64_ANDSXrs, 31, 3 },
22279
329k
    {AArch64_ANDS_PPzPP, 34, 1 },
22280
329k
    {AArch64_ANDWrs, 35, 1 },
22281
329k
    {AArch64_ANDXrs, 36, 1 },
22282
329k
    {AArch64_AND_PPzPP, 37, 1 },
22283
329k
    {AArch64_AND_ZI, 38, 3 },
22284
329k
    {AArch64_AUTIA1716, 41, 1 },
22285
329k
    {AArch64_AUTIASP, 42, 1 },
22286
329k
    {AArch64_AUTIAZ, 43, 1 },
22287
329k
    {AArch64_AUTIB1716, 44, 1 },
22288
329k
    {AArch64_AUTIBSP, 45, 1 },
22289
329k
    {AArch64_AUTIBZ, 46, 1 },
22290
329k
    {AArch64_BICSWrs, 47, 1 },
22291
329k
    {AArch64_BICSXrs, 48, 1 },
22292
329k
    {AArch64_BICWrs, 49, 1 },
22293
329k
    {AArch64_BICXrs, 50, 1 },
22294
329k
    {AArch64_CHKFEAT, 51, 1 },
22295
329k
    {AArch64_CLREX, 52, 1 },
22296
329k
    {AArch64_CNTB_XPiI, 53, 2 },
22297
329k
    {AArch64_CNTD_XPiI, 55, 2 },
22298
329k
    {AArch64_CNTH_XPiI, 57, 2 },
22299
329k
    {AArch64_CNTW_XPiI, 59, 2 },
22300
329k
    {AArch64_CPY_ZPmI_B, 61, 1 },
22301
329k
    {AArch64_CPY_ZPmI_D, 62, 1 },
22302
329k
    {AArch64_CPY_ZPmI_H, 63, 1 },
22303
329k
    {AArch64_CPY_ZPmI_S, 64, 1 },
22304
329k
    {AArch64_CPY_ZPmR_B, 65, 1 },
22305
329k
    {AArch64_CPY_ZPmR_D, 66, 1 },
22306
329k
    {AArch64_CPY_ZPmR_H, 67, 1 },
22307
329k
    {AArch64_CPY_ZPmR_S, 68, 1 },
22308
329k
    {AArch64_CPY_ZPmV_B, 69, 1 },
22309
329k
    {AArch64_CPY_ZPmV_D, 70, 1 },
22310
329k
    {AArch64_CPY_ZPmV_H, 71, 1 },
22311
329k
    {AArch64_CPY_ZPmV_S, 72, 1 },
22312
329k
    {AArch64_CPY_ZPzI_B, 73, 1 },
22313
329k
    {AArch64_CPY_ZPzI_D, 74, 1 },
22314
329k
    {AArch64_CPY_ZPzI_H, 75, 1 },
22315
329k
    {AArch64_CPY_ZPzI_S, 76, 1 },
22316
329k
    {AArch64_CSINCWr, 77, 2 },
22317
329k
    {AArch64_CSINCXr, 79, 2 },
22318
329k
    {AArch64_CSINVWr, 81, 2 },
22319
329k
    {AArch64_CSINVXr, 83, 2 },
22320
329k
    {AArch64_CSNEGWr, 85, 1 },
22321
329k
    {AArch64_CSNEGXr, 86, 1 },
22322
329k
    {AArch64_DCPS1, 87, 1 },
22323
329k
    {AArch64_DCPS2, 88, 1 },
22324
329k
    {AArch64_DCPS3, 89, 1 },
22325
329k
    {AArch64_DECB_XPiI, 90, 2 },
22326
329k
    {AArch64_DECD_XPiI, 92, 2 },
22327
329k
    {AArch64_DECD_ZPiI, 94, 2 },
22328
329k
    {AArch64_DECH_XPiI, 96, 2 },
22329
329k
    {AArch64_DECH_ZPiI, 98, 2 },
22330
329k
    {AArch64_DECW_XPiI, 100, 2 },
22331
329k
    {AArch64_DECW_ZPiI, 102, 2 },
22332
329k
    {AArch64_DSB, 104, 3 },
22333
329k
    {AArch64_DUPM_ZI, 107, 6 },
22334
329k
    {AArch64_DUP_ZI_B, 113, 1 },
22335
329k
    {AArch64_DUP_ZI_D, 114, 2 },
22336
329k
    {AArch64_DUP_ZI_H, 116, 2 },
22337
329k
    {AArch64_DUP_ZI_S, 118, 2 },
22338
329k
    {AArch64_DUP_ZR_B, 120, 1 },
22339
329k
    {AArch64_DUP_ZR_D, 121, 1 },
22340
329k
    {AArch64_DUP_ZR_H, 122, 1 },
22341
329k
    {AArch64_DUP_ZR_S, 123, 1 },
22342
329k
    {AArch64_DUP_ZZI_B, 124, 2 },
22343
329k
    {AArch64_DUP_ZZI_D, 126, 2 },
22344
329k
    {AArch64_DUP_ZZI_H, 128, 2 },
22345
329k
    {AArch64_DUP_ZZI_Q, 130, 2 },
22346
329k
    {AArch64_DUP_ZZI_S, 132, 2 },
22347
329k
    {AArch64_EONWrs, 134, 1 },
22348
329k
    {AArch64_EONXrs, 135, 1 },
22349
329k
    {AArch64_EORS_PPzPP, 136, 1 },
22350
329k
    {AArch64_EORWrs, 137, 1 },
22351
329k
    {AArch64_EORXrs, 138, 1 },
22352
329k
    {AArch64_EOR_PPzPP, 139, 1 },
22353
329k
    {AArch64_EOR_ZI, 140, 3 },
22354
329k
    {AArch64_EXTRACT_ZPMXI_H_B, 143, 1 },
22355
329k
    {AArch64_EXTRACT_ZPMXI_H_D, 144, 1 },
22356
329k
    {AArch64_EXTRACT_ZPMXI_H_H, 145, 1 },
22357
329k
    {AArch64_EXTRACT_ZPMXI_H_Q, 146, 1 },
22358
329k
    {AArch64_EXTRACT_ZPMXI_H_S, 147, 1 },
22359
329k
    {AArch64_EXTRACT_ZPMXI_V_B, 148, 1 },
22360
329k
    {AArch64_EXTRACT_ZPMXI_V_D, 149, 1 },
22361
329k
    {AArch64_EXTRACT_ZPMXI_V_H, 150, 1 },
22362
329k
    {AArch64_EXTRACT_ZPMXI_V_Q, 151, 1 },
22363
329k
    {AArch64_EXTRACT_ZPMXI_V_S, 152, 1 },
22364
329k
    {AArch64_EXTRWrri, 153, 1 },
22365
329k
    {AArch64_EXTRXrri, 154, 1 },
22366
329k
    {AArch64_FCPY_ZPmI_D, 155, 1 },
22367
329k
    {AArch64_FCPY_ZPmI_H, 156, 1 },
22368
329k
    {AArch64_FCPY_ZPmI_S, 157, 1 },
22369
329k
    {AArch64_FDUP_ZI_D, 158, 1 },
22370
329k
    {AArch64_FDUP_ZI_H, 159, 1 },
22371
329k
    {AArch64_FDUP_ZI_S, 160, 1 },
22372
329k
    {AArch64_GCSPOPM, 161, 1 },
22373
329k
    {AArch64_GLD1B_D_IMM_REAL, 162, 1 },
22374
329k
    {AArch64_GLD1B_S_IMM_REAL, 163, 1 },
22375
329k
    {AArch64_GLD1D_IMM_REAL, 164, 1 },
22376
329k
    {AArch64_GLD1H_D_IMM_REAL, 165, 1 },
22377
329k
    {AArch64_GLD1H_S_IMM_REAL, 166, 1 },
22378
329k
    {AArch64_GLD1Q, 167, 1 },
22379
329k
    {AArch64_GLD1SB_D_IMM_REAL, 168, 1 },
22380
329k
    {AArch64_GLD1SB_S_IMM_REAL, 169, 1 },
22381
329k
    {AArch64_GLD1SH_D_IMM_REAL, 170, 1 },
22382
329k
    {AArch64_GLD1SH_S_IMM_REAL, 171, 1 },
22383
329k
    {AArch64_GLD1SW_D_IMM_REAL, 172, 1 },
22384
329k
    {AArch64_GLD1W_D_IMM_REAL, 173, 1 },
22385
329k
    {AArch64_GLD1W_IMM_REAL, 174, 1 },
22386
329k
    {AArch64_GLDFF1B_D_IMM_REAL, 175, 1 },
22387
329k
    {AArch64_GLDFF1B_S_IMM_REAL, 176, 1 },
22388
329k
    {AArch64_GLDFF1D_IMM_REAL, 177, 1 },
22389
329k
    {AArch64_GLDFF1H_D_IMM_REAL, 178, 1 },
22390
329k
    {AArch64_GLDFF1H_S_IMM_REAL, 179, 1 },
22391
329k
    {AArch64_GLDFF1SB_D_IMM_REAL, 180, 1 },
22392
329k
    {AArch64_GLDFF1SB_S_IMM_REAL, 181, 1 },
22393
329k
    {AArch64_GLDFF1SH_D_IMM_REAL, 182, 1 },
22394
329k
    {AArch64_GLDFF1SH_S_IMM_REAL, 183, 1 },
22395
329k
    {AArch64_GLDFF1SW_D_IMM_REAL, 184, 1 },
22396
329k
    {AArch64_GLDFF1W_D_IMM_REAL, 185, 1 },
22397
329k
    {AArch64_GLDFF1W_IMM_REAL, 186, 1 },
22398
329k
    {AArch64_HINT, 187, 14 },
22399
329k
    {AArch64_INCB_XPiI, 201, 2 },
22400
329k
    {AArch64_INCD_XPiI, 203, 2 },
22401
329k
    {AArch64_INCD_ZPiI, 205, 2 },
22402
329k
    {AArch64_INCH_XPiI, 207, 2 },
22403
329k
    {AArch64_INCH_ZPiI, 209, 2 },
22404
329k
    {AArch64_INCW_XPiI, 211, 2 },
22405
329k
    {AArch64_INCW_ZPiI, 213, 2 },
22406
329k
    {AArch64_INSERT_MXIPZ_H_B, 215, 1 },
22407
329k
    {AArch64_INSERT_MXIPZ_H_D, 216, 1 },
22408
329k
    {AArch64_INSERT_MXIPZ_H_H, 217, 1 },
22409
329k
    {AArch64_INSERT_MXIPZ_H_Q, 218, 1 },
22410
329k
    {AArch64_INSERT_MXIPZ_H_S, 219, 1 },
22411
329k
    {AArch64_INSERT_MXIPZ_V_B, 220, 1 },
22412
329k
    {AArch64_INSERT_MXIPZ_V_D, 221, 1 },
22413
329k
    {AArch64_INSERT_MXIPZ_V_H, 222, 1 },
22414
329k
    {AArch64_INSERT_MXIPZ_V_Q, 223, 1 },
22415
329k
    {AArch64_INSERT_MXIPZ_V_S, 224, 1 },
22416
329k
    {AArch64_INSvi16gpr, 225, 1 },
22417
329k
    {AArch64_INSvi16lane, 226, 1 },
22418
329k
    {AArch64_INSvi32gpr, 227, 1 },
22419
329k
    {AArch64_INSvi32lane, 228, 1 },
22420
329k
    {AArch64_INSvi64gpr, 229, 1 },
22421
329k
    {AArch64_INSvi64lane, 230, 1 },
22422
329k
    {AArch64_INSvi8gpr, 231, 1 },
22423
329k
    {AArch64_INSvi8lane, 232, 1 },
22424
329k
    {AArch64_IRG, 233, 1 },
22425
329k
    {AArch64_ISB, 234, 1 },
22426
329k
    {AArch64_LD1B_2Z_IMM, 235, 1 },
22427
329k
    {AArch64_LD1B_2Z_STRIDED_IMM, 236, 1 },
22428
329k
    {AArch64_LD1B_4Z_IMM, 237, 1 },
22429
329k
    {AArch64_LD1B_4Z_STRIDED_IMM, 238, 1 },
22430
329k
    {AArch64_LD1B_D_IMM, 239, 1 },
22431
329k
    {AArch64_LD1B_H_IMM, 240, 1 },
22432
329k
    {AArch64_LD1B_IMM, 241, 1 },
22433
329k
    {AArch64_LD1B_S_IMM, 242, 1 },
22434
329k
    {AArch64_LD1D_2Z_IMM, 243, 1 },
22435
329k
    {AArch64_LD1D_2Z_STRIDED_IMM, 244, 1 },
22436
329k
    {AArch64_LD1D_4Z_IMM, 245, 1 },
22437
329k
    {AArch64_LD1D_4Z_STRIDED_IMM, 246, 1 },
22438
329k
    {AArch64_LD1D_IMM, 247, 1 },
22439
329k
    {AArch64_LD1D_Q_IMM, 248, 1 },
22440
329k
    {AArch64_LD1Fourv16b_POST, 249, 1 },
22441
329k
    {AArch64_LD1Fourv1d_POST, 250, 1 },
22442
329k
    {AArch64_LD1Fourv2d_POST, 251, 1 },
22443
329k
    {AArch64_LD1Fourv2s_POST, 252, 1 },
22444
329k
    {AArch64_LD1Fourv4h_POST, 253, 1 },
22445
329k
    {AArch64_LD1Fourv4s_POST, 254, 1 },
22446
329k
    {AArch64_LD1Fourv8b_POST, 255, 1 },
22447
329k
    {AArch64_LD1Fourv8h_POST, 256, 1 },
22448
329k
    {AArch64_LD1H_2Z_IMM, 257, 1 },
22449
329k
    {AArch64_LD1H_2Z_STRIDED_IMM, 258, 1 },
22450
329k
    {AArch64_LD1H_4Z_IMM, 259, 1 },
22451
329k
    {AArch64_LD1H_4Z_STRIDED_IMM, 260, 1 },
22452
329k
    {AArch64_LD1H_D_IMM, 261, 1 },
22453
329k
    {AArch64_LD1H_IMM, 262, 1 },
22454
329k
    {AArch64_LD1H_S_IMM, 263, 1 },
22455
329k
    {AArch64_LD1Onev16b_POST, 264, 1 },
22456
329k
    {AArch64_LD1Onev1d_POST, 265, 1 },
22457
329k
    {AArch64_LD1Onev2d_POST, 266, 1 },
22458
329k
    {AArch64_LD1Onev2s_POST, 267, 1 },
22459
329k
    {AArch64_LD1Onev4h_POST, 268, 1 },
22460
329k
    {AArch64_LD1Onev4s_POST, 269, 1 },
22461
329k
    {AArch64_LD1Onev8b_POST, 270, 1 },
22462
329k
    {AArch64_LD1Onev8h_POST, 271, 1 },
22463
329k
    {AArch64_LD1RB_D_IMM, 272, 1 },
22464
329k
    {AArch64_LD1RB_H_IMM, 273, 1 },
22465
329k
    {AArch64_LD1RB_IMM, 274, 1 },
22466
329k
    {AArch64_LD1RB_S_IMM, 275, 1 },
22467
329k
    {AArch64_LD1RD_IMM, 276, 1 },
22468
329k
    {AArch64_LD1RH_D_IMM, 277, 1 },
22469
329k
    {AArch64_LD1RH_IMM, 278, 1 },
22470
329k
    {AArch64_LD1RH_S_IMM, 279, 1 },
22471
329k
    {AArch64_LD1RO_B_IMM, 280, 1 },
22472
329k
    {AArch64_LD1RO_D_IMM, 281, 1 },
22473
329k
    {AArch64_LD1RO_H_IMM, 282, 1 },
22474
329k
    {AArch64_LD1RO_W_IMM, 283, 1 },
22475
329k
    {AArch64_LD1RQ_B_IMM, 284, 1 },
22476
329k
    {AArch64_LD1RQ_D_IMM, 285, 1 },
22477
329k
    {AArch64_LD1RQ_H_IMM, 286, 1 },
22478
329k
    {AArch64_LD1RQ_W_IMM, 287, 1 },
22479
329k
    {AArch64_LD1RSB_D_IMM, 288, 1 },
22480
329k
    {AArch64_LD1RSB_H_IMM, 289, 1 },
22481
329k
    {AArch64_LD1RSB_S_IMM, 290, 1 },
22482
329k
    {AArch64_LD1RSH_D_IMM, 291, 1 },
22483
329k
    {AArch64_LD1RSH_S_IMM, 292, 1 },
22484
329k
    {AArch64_LD1RSW_IMM, 293, 1 },
22485
329k
    {AArch64_LD1RW_D_IMM, 294, 1 },
22486
329k
    {AArch64_LD1RW_IMM, 295, 1 },
22487
329k
    {AArch64_LD1Rv16b_POST, 296, 1 },
22488
329k
    {AArch64_LD1Rv1d_POST, 297, 1 },
22489
329k
    {AArch64_LD1Rv2d_POST, 298, 1 },
22490
329k
    {AArch64_LD1Rv2s_POST, 299, 1 },
22491
329k
    {AArch64_LD1Rv4h_POST, 300, 1 },
22492
329k
    {AArch64_LD1Rv4s_POST, 301, 1 },
22493
329k
    {AArch64_LD1Rv8b_POST, 302, 1 },
22494
329k
    {AArch64_LD1Rv8h_POST, 303, 1 },
22495
329k
    {AArch64_LD1SB_D_IMM, 304, 1 },
22496
329k
    {AArch64_LD1SB_H_IMM, 305, 1 },
22497
329k
    {AArch64_LD1SB_S_IMM, 306, 1 },
22498
329k
    {AArch64_LD1SH_D_IMM, 307, 1 },
22499
329k
    {AArch64_LD1SH_S_IMM, 308, 1 },
22500
329k
    {AArch64_LD1SW_D_IMM, 309, 1 },
22501
329k
    {AArch64_LD1Threev16b_POST, 310, 1 },
22502
329k
    {AArch64_LD1Threev1d_POST, 311, 1 },
22503
329k
    {AArch64_LD1Threev2d_POST, 312, 1 },
22504
329k
    {AArch64_LD1Threev2s_POST, 313, 1 },
22505
329k
    {AArch64_LD1Threev4h_POST, 314, 1 },
22506
329k
    {AArch64_LD1Threev4s_POST, 315, 1 },
22507
329k
    {AArch64_LD1Threev8b_POST, 316, 1 },
22508
329k
    {AArch64_LD1Threev8h_POST, 317, 1 },
22509
329k
    {AArch64_LD1Twov16b_POST, 318, 1 },
22510
329k
    {AArch64_LD1Twov1d_POST, 319, 1 },
22511
329k
    {AArch64_LD1Twov2d_POST, 320, 1 },
22512
329k
    {AArch64_LD1Twov2s_POST, 321, 1 },
22513
329k
    {AArch64_LD1Twov4h_POST, 322, 1 },
22514
329k
    {AArch64_LD1Twov4s_POST, 323, 1 },
22515
329k
    {AArch64_LD1Twov8b_POST, 324, 1 },
22516
329k
    {AArch64_LD1Twov8h_POST, 325, 1 },
22517
329k
    {AArch64_LD1W_2Z_IMM, 326, 1 },
22518
329k
    {AArch64_LD1W_2Z_STRIDED_IMM, 327, 1 },
22519
329k
    {AArch64_LD1W_4Z_IMM, 328, 1 },
22520
329k
    {AArch64_LD1W_4Z_STRIDED_IMM, 329, 1 },
22521
329k
    {AArch64_LD1W_D_IMM, 330, 1 },
22522
329k
    {AArch64_LD1W_IMM, 331, 1 },
22523
329k
    {AArch64_LD1W_Q_IMM, 332, 1 },
22524
329k
    {AArch64_LD1_MXIPXX_H_B, 333, 1 },
22525
329k
    {AArch64_LD1_MXIPXX_H_D, 334, 1 },
22526
329k
    {AArch64_LD1_MXIPXX_H_H, 335, 1 },
22527
329k
    {AArch64_LD1_MXIPXX_H_Q, 336, 1 },
22528
329k
    {AArch64_LD1_MXIPXX_H_S, 337, 1 },
22529
329k
    {AArch64_LD1_MXIPXX_V_B, 338, 1 },
22530
329k
    {AArch64_LD1_MXIPXX_V_D, 339, 1 },
22531
329k
    {AArch64_LD1_MXIPXX_V_H, 340, 1 },
22532
329k
    {AArch64_LD1_MXIPXX_V_Q, 341, 1 },
22533
329k
    {AArch64_LD1_MXIPXX_V_S, 342, 1 },
22534
329k
    {AArch64_LD1i16_POST, 343, 1 },
22535
329k
    {AArch64_LD1i32_POST, 344, 1 },
22536
329k
    {AArch64_LD1i64_POST, 345, 1 },
22537
329k
    {AArch64_LD1i8_POST, 346, 1 },
22538
329k
    {AArch64_LD2B_IMM, 347, 1 },
22539
329k
    {AArch64_LD2D_IMM, 348, 1 },
22540
329k
    {AArch64_LD2H_IMM, 349, 1 },
22541
329k
    {AArch64_LD2Q_IMM, 350, 1 },
22542
329k
    {AArch64_LD2Rv16b_POST, 351, 1 },
22543
329k
    {AArch64_LD2Rv1d_POST, 352, 1 },
22544
329k
    {AArch64_LD2Rv2d_POST, 353, 1 },
22545
329k
    {AArch64_LD2Rv2s_POST, 354, 1 },
22546
329k
    {AArch64_LD2Rv4h_POST, 355, 1 },
22547
329k
    {AArch64_LD2Rv4s_POST, 356, 1 },
22548
329k
    {AArch64_LD2Rv8b_POST, 357, 1 },
22549
329k
    {AArch64_LD2Rv8h_POST, 358, 1 },
22550
329k
    {AArch64_LD2Twov16b_POST, 359, 1 },
22551
329k
    {AArch64_LD2Twov2d_POST, 360, 1 },
22552
329k
    {AArch64_LD2Twov2s_POST, 361, 1 },
22553
329k
    {AArch64_LD2Twov4h_POST, 362, 1 },
22554
329k
    {AArch64_LD2Twov4s_POST, 363, 1 },
22555
329k
    {AArch64_LD2Twov8b_POST, 364, 1 },
22556
329k
    {AArch64_LD2Twov8h_POST, 365, 1 },
22557
329k
    {AArch64_LD2W_IMM, 366, 1 },
22558
329k
    {AArch64_LD2i16_POST, 367, 1 },
22559
329k
    {AArch64_LD2i32_POST, 368, 1 },
22560
329k
    {AArch64_LD2i64_POST, 369, 1 },
22561
329k
    {AArch64_LD2i8_POST, 370, 1 },
22562
329k
    {AArch64_LD3B_IMM, 371, 1 },
22563
329k
    {AArch64_LD3D_IMM, 372, 1 },
22564
329k
    {AArch64_LD3H_IMM, 373, 1 },
22565
329k
    {AArch64_LD3Q_IMM, 374, 1 },
22566
329k
    {AArch64_LD3Rv16b_POST, 375, 1 },
22567
329k
    {AArch64_LD3Rv1d_POST, 376, 1 },
22568
329k
    {AArch64_LD3Rv2d_POST, 377, 1 },
22569
329k
    {AArch64_LD3Rv2s_POST, 378, 1 },
22570
329k
    {AArch64_LD3Rv4h_POST, 379, 1 },
22571
329k
    {AArch64_LD3Rv4s_POST, 380, 1 },
22572
329k
    {AArch64_LD3Rv8b_POST, 381, 1 },
22573
329k
    {AArch64_LD3Rv8h_POST, 382, 1 },
22574
329k
    {AArch64_LD3Threev16b_POST, 383, 1 },
22575
329k
    {AArch64_LD3Threev2d_POST, 384, 1 },
22576
329k
    {AArch64_LD3Threev2s_POST, 385, 1 },
22577
329k
    {AArch64_LD3Threev4h_POST, 386, 1 },
22578
329k
    {AArch64_LD3Threev4s_POST, 387, 1 },
22579
329k
    {AArch64_LD3Threev8b_POST, 388, 1 },
22580
329k
    {AArch64_LD3Threev8h_POST, 389, 1 },
22581
329k
    {AArch64_LD3W_IMM, 390, 1 },
22582
329k
    {AArch64_LD3i16_POST, 391, 1 },
22583
329k
    {AArch64_LD3i32_POST, 392, 1 },
22584
329k
    {AArch64_LD3i64_POST, 393, 1 },
22585
329k
    {AArch64_LD3i8_POST, 394, 1 },
22586
329k
    {AArch64_LD4B_IMM, 395, 1 },
22587
329k
    {AArch64_LD4D_IMM, 396, 1 },
22588
329k
    {AArch64_LD4Fourv16b_POST, 397, 1 },
22589
329k
    {AArch64_LD4Fourv2d_POST, 398, 1 },
22590
329k
    {AArch64_LD4Fourv2s_POST, 399, 1 },
22591
329k
    {AArch64_LD4Fourv4h_POST, 400, 1 },
22592
329k
    {AArch64_LD4Fourv4s_POST, 401, 1 },
22593
329k
    {AArch64_LD4Fourv8b_POST, 402, 1 },
22594
329k
    {AArch64_LD4Fourv8h_POST, 403, 1 },
22595
329k
    {AArch64_LD4H_IMM, 404, 1 },
22596
329k
    {AArch64_LD4Q_IMM, 405, 1 },
22597
329k
    {AArch64_LD4Rv16b_POST, 406, 1 },
22598
329k
    {AArch64_LD4Rv1d_POST, 407, 1 },
22599
329k
    {AArch64_LD4Rv2d_POST, 408, 1 },
22600
329k
    {AArch64_LD4Rv2s_POST, 409, 1 },
22601
329k
    {AArch64_LD4Rv4h_POST, 410, 1 },
22602
329k
    {AArch64_LD4Rv4s_POST, 411, 1 },
22603
329k
    {AArch64_LD4Rv8b_POST, 412, 1 },
22604
329k
    {AArch64_LD4Rv8h_POST, 413, 1 },
22605
329k
    {AArch64_LD4W_IMM, 414, 1 },
22606
329k
    {AArch64_LD4i16_POST, 415, 1 },
22607
329k
    {AArch64_LD4i32_POST, 416, 1 },
22608
329k
    {AArch64_LD4i64_POST, 417, 1 },
22609
329k
    {AArch64_LD4i8_POST, 418, 1 },
22610
329k
    {AArch64_LDADDB, 419, 1 },
22611
329k
    {AArch64_LDADDH, 420, 1 },
22612
329k
    {AArch64_LDADDLB, 421, 1 },
22613
329k
    {AArch64_LDADDLH, 422, 1 },
22614
329k
    {AArch64_LDADDLW, 423, 1 },
22615
329k
    {AArch64_LDADDLX, 424, 1 },
22616
329k
    {AArch64_LDADDW, 425, 1 },
22617
329k
    {AArch64_LDADDX, 426, 1 },
22618
329k
    {AArch64_LDAPURBi, 427, 1 },
22619
329k
    {AArch64_LDAPURHi, 428, 1 },
22620
329k
    {AArch64_LDAPURSBWi, 429, 1 },
22621
329k
    {AArch64_LDAPURSBXi, 430, 1 },
22622
329k
    {AArch64_LDAPURSHWi, 431, 1 },
22623
329k
    {AArch64_LDAPURSHXi, 432, 1 },
22624
329k
    {AArch64_LDAPURSWi, 433, 1 },
22625
329k
    {AArch64_LDAPURXi, 434, 1 },
22626
329k
    {AArch64_LDAPURbi, 435, 1 },
22627
329k
    {AArch64_LDAPURdi, 436, 1 },
22628
329k
    {AArch64_LDAPURhi, 437, 1 },
22629
329k
    {AArch64_LDAPURi, 438, 1 },
22630
329k
    {AArch64_LDAPURqi, 439, 1 },
22631
329k
    {AArch64_LDAPURsi, 440, 1 },
22632
329k
    {AArch64_LDCLRB, 441, 1 },
22633
329k
    {AArch64_LDCLRH, 442, 1 },
22634
329k
    {AArch64_LDCLRLB, 443, 1 },
22635
329k
    {AArch64_LDCLRLH, 444, 1 },
22636
329k
    {AArch64_LDCLRLW, 445, 1 },
22637
329k
    {AArch64_LDCLRLX, 446, 1 },
22638
329k
    {AArch64_LDCLRW, 447, 1 },
22639
329k
    {AArch64_LDCLRX, 448, 1 },
22640
329k
    {AArch64_LDEORB, 449, 1 },
22641
329k
    {AArch64_LDEORH, 450, 1 },
22642
329k
    {AArch64_LDEORLB, 451, 1 },
22643
329k
    {AArch64_LDEORLH, 452, 1 },
22644
329k
    {AArch64_LDEORLW, 453, 1 },
22645
329k
    {AArch64_LDEORLX, 454, 1 },
22646
329k
    {AArch64_LDEORW, 455, 1 },
22647
329k
    {AArch64_LDEORX, 456, 1 },
22648
329k
    {AArch64_LDFF1B_D_REAL, 457, 1 },
22649
329k
    {AArch64_LDFF1B_H_REAL, 458, 1 },
22650
329k
    {AArch64_LDFF1B_REAL, 459, 1 },
22651
329k
    {AArch64_LDFF1B_S_REAL, 460, 1 },
22652
329k
    {AArch64_LDFF1D_REAL, 461, 1 },
22653
329k
    {AArch64_LDFF1H_D_REAL, 462, 1 },
22654
329k
    {AArch64_LDFF1H_REAL, 463, 1 },
22655
329k
    {AArch64_LDFF1H_S_REAL, 464, 1 },
22656
329k
    {AArch64_LDFF1SB_D_REAL, 465, 1 },
22657
329k
    {AArch64_LDFF1SB_H_REAL, 466, 1 },
22658
329k
    {AArch64_LDFF1SB_S_REAL, 467, 1 },
22659
329k
    {AArch64_LDFF1SH_D_REAL, 468, 1 },
22660
329k
    {AArch64_LDFF1SH_S_REAL, 469, 1 },
22661
329k
    {AArch64_LDFF1SW_D_REAL, 470, 1 },
22662
329k
    {AArch64_LDFF1W_D_REAL, 471, 1 },
22663
329k
    {AArch64_LDFF1W_REAL, 472, 1 },
22664
329k
    {AArch64_LDG, 473, 1 },
22665
329k
    {AArch64_LDNF1B_D_IMM_REAL, 474, 1 },
22666
329k
    {AArch64_LDNF1B_H_IMM_REAL, 475, 1 },
22667
329k
    {AArch64_LDNF1B_IMM_REAL, 476, 1 },
22668
329k
    {AArch64_LDNF1B_S_IMM_REAL, 477, 1 },
22669
329k
    {AArch64_LDNF1D_IMM_REAL, 478, 1 },
22670
329k
    {AArch64_LDNF1H_D_IMM_REAL, 479, 1 },
22671
329k
    {AArch64_LDNF1H_IMM_REAL, 480, 1 },
22672
329k
    {AArch64_LDNF1H_S_IMM_REAL, 481, 1 },
22673
329k
    {AArch64_LDNF1SB_D_IMM_REAL, 482, 1 },
22674
329k
    {AArch64_LDNF1SB_H_IMM_REAL, 483, 1 },
22675
329k
    {AArch64_LDNF1SB_S_IMM_REAL, 484, 1 },
22676
329k
    {AArch64_LDNF1SH_D_IMM_REAL, 485, 1 },
22677
329k
    {AArch64_LDNF1SH_S_IMM_REAL, 486, 1 },
22678
329k
    {AArch64_LDNF1SW_D_IMM_REAL, 487, 1 },
22679
329k
    {AArch64_LDNF1W_D_IMM_REAL, 488, 1 },
22680
329k
    {AArch64_LDNF1W_IMM_REAL, 489, 1 },
22681
329k
    {AArch64_LDNPDi, 490, 1 },
22682
329k
    {AArch64_LDNPQi, 491, 1 },
22683
329k
    {AArch64_LDNPSi, 492, 1 },
22684
329k
    {AArch64_LDNPWi, 493, 1 },
22685
329k
    {AArch64_LDNPXi, 494, 1 },
22686
329k
    {AArch64_LDNT1B_2Z_IMM, 495, 1 },
22687
329k
    {AArch64_LDNT1B_2Z_STRIDED_IMM, 496, 1 },
22688
329k
    {AArch64_LDNT1B_4Z_IMM, 497, 1 },
22689
329k
    {AArch64_LDNT1B_4Z_STRIDED_IMM, 498, 1 },
22690
329k
    {AArch64_LDNT1B_ZRI, 499, 1 },
22691
329k
    {AArch64_LDNT1B_ZZR_D_REAL, 500, 1 },
22692
329k
    {AArch64_LDNT1B_ZZR_S_REAL, 501, 1 },
22693
329k
    {AArch64_LDNT1D_2Z_IMM, 502, 1 },
22694
329k
    {AArch64_LDNT1D_2Z_STRIDED_IMM, 503, 1 },
22695
329k
    {AArch64_LDNT1D_4Z_IMM, 504, 1 },
22696
329k
    {AArch64_LDNT1D_4Z_STRIDED_IMM, 505, 1 },
22697
329k
    {AArch64_LDNT1D_ZRI, 506, 1 },
22698
329k
    {AArch64_LDNT1D_ZZR_D_REAL, 507, 1 },
22699
329k
    {AArch64_LDNT1H_2Z_IMM, 508, 1 },
22700
329k
    {AArch64_LDNT1H_2Z_STRIDED_IMM, 509, 1 },
22701
329k
    {AArch64_LDNT1H_4Z_IMM, 510, 1 },
22702
329k
    {AArch64_LDNT1H_4Z_STRIDED_IMM, 511, 1 },
22703
329k
    {AArch64_LDNT1H_ZRI, 512, 1 },
22704
329k
    {AArch64_LDNT1H_ZZR_D_REAL, 513, 1 },
22705
329k
    {AArch64_LDNT1H_ZZR_S_REAL, 514, 1 },
22706
329k
    {AArch64_LDNT1SB_ZZR_D_REAL, 515, 1 },
22707
329k
    {AArch64_LDNT1SB_ZZR_S_REAL, 516, 1 },
22708
329k
    {AArch64_LDNT1SH_ZZR_D_REAL, 517, 1 },
22709
329k
    {AArch64_LDNT1SH_ZZR_S_REAL, 518, 1 },
22710
329k
    {AArch64_LDNT1SW_ZZR_D_REAL, 519, 1 },
22711
329k
    {AArch64_LDNT1W_2Z_IMM, 520, 1 },
22712
329k
    {AArch64_LDNT1W_2Z_STRIDED_IMM, 521, 1 },
22713
329k
    {AArch64_LDNT1W_4Z_IMM, 522, 1 },
22714
329k
    {AArch64_LDNT1W_4Z_STRIDED_IMM, 523, 1 },
22715
329k
    {AArch64_LDNT1W_ZRI, 524, 1 },
22716
329k
    {AArch64_LDNT1W_ZZR_D_REAL, 525, 1 },
22717
329k
    {AArch64_LDNT1W_ZZR_S_REAL, 526, 1 },
22718
329k
    {AArch64_LDPDi, 527, 1 },
22719
329k
    {AArch64_LDPQi, 528, 1 },
22720
329k
    {AArch64_LDPSWi, 529, 1 },
22721
329k
    {AArch64_LDPSi, 530, 1 },
22722
329k
    {AArch64_LDPWi, 531, 1 },
22723
329k
    {AArch64_LDPXi, 532, 1 },
22724
329k
    {AArch64_LDRAAindexed, 533, 1 },
22725
329k
    {AArch64_LDRABindexed, 534, 1 },
22726
329k
    {AArch64_LDRBBroX, 535, 1 },
22727
329k
    {AArch64_LDRBBui, 536, 1 },
22728
329k
    {AArch64_LDRBroX, 537, 1 },
22729
329k
    {AArch64_LDRBui, 538, 1 },
22730
329k
    {AArch64_LDRDroX, 539, 1 },
22731
329k
    {AArch64_LDRDui, 540, 1 },
22732
329k
    {AArch64_LDRHHroX, 541, 1 },
22733
329k
    {AArch64_LDRHHui, 542, 1 },
22734
329k
    {AArch64_LDRHroX, 543, 1 },
22735
329k
    {AArch64_LDRHui, 544, 1 },
22736
329k
    {AArch64_LDRQroX, 545, 1 },
22737
329k
    {AArch64_LDRQui, 546, 1 },
22738
329k
    {AArch64_LDRSBWroX, 547, 1 },
22739
329k
    {AArch64_LDRSBWui, 548, 1 },
22740
329k
    {AArch64_LDRSBXroX, 549, 1 },
22741
329k
    {AArch64_LDRSBXui, 550, 1 },
22742
329k
    {AArch64_LDRSHWroX, 551, 1 },
22743
329k
    {AArch64_LDRSHWui, 552, 1 },
22744
329k
    {AArch64_LDRSHXroX, 553, 1 },
22745
329k
    {AArch64_LDRSHXui, 554, 1 },
22746
329k
    {AArch64_LDRSWroX, 555, 1 },
22747
329k
    {AArch64_LDRSWui, 556, 1 },
22748
329k
    {AArch64_LDRSroX, 557, 1 },
22749
329k
    {AArch64_LDRSui, 558, 1 },
22750
329k
    {AArch64_LDRWroX, 559, 1 },
22751
329k
    {AArch64_LDRWui, 560, 1 },
22752
329k
    {AArch64_LDRXroX, 561, 1 },
22753
329k
    {AArch64_LDRXui, 562, 1 },
22754
329k
    {AArch64_LDR_PXI, 563, 1 },
22755
329k
    {AArch64_LDR_ZA, 564, 1 },
22756
329k
    {AArch64_LDR_ZXI, 565, 1 },
22757
329k
    {AArch64_LDSETB, 566, 1 },
22758
329k
    {AArch64_LDSETH, 567, 1 },
22759
329k
    {AArch64_LDSETLB, 568, 1 },
22760
329k
    {AArch64_LDSETLH, 569, 1 },
22761
329k
    {AArch64_LDSETLW, 570, 1 },
22762
329k
    {AArch64_LDSETLX, 571, 1 },
22763
329k
    {AArch64_LDSETW, 572, 1 },
22764
329k
    {AArch64_LDSETX, 573, 1 },
22765
329k
    {AArch64_LDSMAXB, 574, 1 },
22766
329k
    {AArch64_LDSMAXH, 575, 1 },
22767
329k
    {AArch64_LDSMAXLB, 576, 1 },
22768
329k
    {AArch64_LDSMAXLH, 577, 1 },
22769
329k
    {AArch64_LDSMAXLW, 578, 1 },
22770
329k
    {AArch64_LDSMAXLX, 579, 1 },
22771
329k
    {AArch64_LDSMAXW, 580, 1 },
22772
329k
    {AArch64_LDSMAXX, 581, 1 },
22773
329k
    {AArch64_LDSMINB, 582, 1 },
22774
329k
    {AArch64_LDSMINH, 583, 1 },
22775
329k
    {AArch64_LDSMINLB, 584, 1 },
22776
329k
    {AArch64_LDSMINLH, 585, 1 },
22777
329k
    {AArch64_LDSMINLW, 586, 1 },
22778
329k
    {AArch64_LDSMINLX, 587, 1 },
22779
329k
    {AArch64_LDSMINW, 588, 1 },
22780
329k
    {AArch64_LDSMINX, 589, 1 },
22781
329k
    {AArch64_LDTRBi, 590, 1 },
22782
329k
    {AArch64_LDTRHi, 591, 1 },
22783
329k
    {AArch64_LDTRSBWi, 592, 1 },
22784
329k
    {AArch64_LDTRSBXi, 593, 1 },
22785
329k
    {AArch64_LDTRSHWi, 594, 1 },
22786
329k
    {AArch64_LDTRSHXi, 595, 1 },
22787
329k
    {AArch64_LDTRSWi, 596, 1 },
22788
329k
    {AArch64_LDTRWi, 597, 1 },
22789
329k
    {AArch64_LDTRXi, 598, 1 },
22790
329k
    {AArch64_LDUMAXB, 599, 1 },
22791
329k
    {AArch64_LDUMAXH, 600, 1 },
22792
329k
    {AArch64_LDUMAXLB, 601, 1 },
22793
329k
    {AArch64_LDUMAXLH, 602, 1 },
22794
329k
    {AArch64_LDUMAXLW, 603, 1 },
22795
329k
    {AArch64_LDUMAXLX, 604, 1 },
22796
329k
    {AArch64_LDUMAXW, 605, 1 },
22797
329k
    {AArch64_LDUMAXX, 606, 1 },
22798
329k
    {AArch64_LDUMINB, 607, 1 },
22799
329k
    {AArch64_LDUMINH, 608, 1 },
22800
329k
    {AArch64_LDUMINLB, 609, 1 },
22801
329k
    {AArch64_LDUMINLH, 610, 1 },
22802
329k
    {AArch64_LDUMINLW, 611, 1 },
22803
329k
    {AArch64_LDUMINLX, 612, 1 },
22804
329k
    {AArch64_LDUMINW, 613, 1 },
22805
329k
    {AArch64_LDUMINX, 614, 1 },
22806
329k
    {AArch64_LDURBBi, 615, 1 },
22807
329k
    {AArch64_LDURBi, 616, 1 },
22808
329k
    {AArch64_LDURDi, 617, 1 },
22809
329k
    {AArch64_LDURHHi, 618, 1 },
22810
329k
    {AArch64_LDURHi, 619, 1 },
22811
329k
    {AArch64_LDURQi, 620, 1 },
22812
329k
    {AArch64_LDURSBWi, 621, 1 },
22813
329k
    {AArch64_LDURSBXi, 622, 1 },
22814
329k
    {AArch64_LDURSHWi, 623, 1 },
22815
329k
    {AArch64_LDURSHXi, 624, 1 },
22816
329k
    {AArch64_LDURSWi, 625, 1 },
22817
329k
    {AArch64_LDURSi, 626, 1 },
22818
329k
    {AArch64_LDURWi, 627, 1 },
22819
329k
    {AArch64_LDURXi, 628, 1 },
22820
329k
    {AArch64_MADDWrrr, 629, 1 },
22821
329k
    {AArch64_MADDXrrr, 630, 1 },
22822
329k
    {AArch64_MOVA_2ZMXI_H_B, 631, 1 },
22823
329k
    {AArch64_MOVA_2ZMXI_H_D, 632, 1 },
22824
329k
    {AArch64_MOVA_2ZMXI_H_H, 633, 1 },
22825
329k
    {AArch64_MOVA_2ZMXI_H_S, 634, 1 },
22826
329k
    {AArch64_MOVA_2ZMXI_V_B, 635, 1 },
22827
329k
    {AArch64_MOVA_2ZMXI_V_D, 636, 1 },
22828
329k
    {AArch64_MOVA_2ZMXI_V_H, 637, 1 },
22829
329k
    {AArch64_MOVA_2ZMXI_V_S, 638, 1 },
22830
329k
    {AArch64_MOVA_4ZMXI_H_B, 639, 1 },
22831
329k
    {AArch64_MOVA_4ZMXI_H_D, 640, 1 },
22832
329k
    {AArch64_MOVA_4ZMXI_H_H, 641, 1 },
22833
329k
    {AArch64_MOVA_4ZMXI_H_S, 642, 1 },
22834
329k
    {AArch64_MOVA_4ZMXI_V_B, 643, 1 },
22835
329k
    {AArch64_MOVA_4ZMXI_V_D, 644, 1 },
22836
329k
    {AArch64_MOVA_4ZMXI_V_H, 645, 1 },
22837
329k
    {AArch64_MOVA_4ZMXI_V_S, 646, 1 },
22838
329k
    {AArch64_MOVA_MXI2Z_H_B, 647, 1 },
22839
329k
    {AArch64_MOVA_MXI2Z_H_D, 648, 1 },
22840
329k
    {AArch64_MOVA_MXI2Z_H_H, 649, 1 },
22841
329k
    {AArch64_MOVA_MXI2Z_H_S, 650, 1 },
22842
329k
    {AArch64_MOVA_MXI2Z_V_B, 651, 1 },
22843
329k
    {AArch64_MOVA_MXI2Z_V_D, 652, 1 },
22844
329k
    {AArch64_MOVA_MXI2Z_V_H, 653, 1 },
22845
329k
    {AArch64_MOVA_MXI2Z_V_S, 654, 1 },
22846
329k
    {AArch64_MOVA_MXI4Z_H_B, 655, 1 },
22847
329k
    {AArch64_MOVA_MXI4Z_H_D, 656, 1 },
22848
329k
    {AArch64_MOVA_MXI4Z_H_H, 657, 1 },
22849
329k
    {AArch64_MOVA_MXI4Z_H_S, 658, 1 },
22850
329k
    {AArch64_MOVA_MXI4Z_V_B, 659, 1 },
22851
329k
    {AArch64_MOVA_MXI4Z_V_D, 660, 1 },
22852
329k
    {AArch64_MOVA_MXI4Z_V_H, 661, 1 },
22853
329k
    {AArch64_MOVA_MXI4Z_V_S, 662, 1 },
22854
329k
    {AArch64_MOVA_VG2_2ZMXI, 663, 1 },
22855
329k
    {AArch64_MOVA_VG2_MXI2Z, 664, 1 },
22856
329k
    {AArch64_MOVA_VG4_4ZMXI, 665, 1 },
22857
329k
    {AArch64_MOVA_VG4_MXI4Z, 666, 1 },
22858
329k
    {AArch64_MOVT, 667, 1 },
22859
329k
    {AArch64_MSRpstatesvcrImm1, 668, 6 },
22860
329k
    {AArch64_MSUBWrrr, 674, 1 },
22861
329k
    {AArch64_MSUBXrrr, 675, 1 },
22862
329k
    {AArch64_NOTv16i8, 676, 1 },
22863
329k
    {AArch64_NOTv8i8, 677, 1 },
22864
329k
    {AArch64_ORNWrs, 678, 3 },
22865
329k
    {AArch64_ORNXrs, 681, 3 },
22866
329k
    {AArch64_ORRS_PPzPP, 684, 1 },
22867
329k
    {AArch64_ORRWrs, 685, 2 },
22868
329k
    {AArch64_ORRXrs, 687, 2 },
22869
329k
    {AArch64_ORR_PPzPP, 689, 1 },
22870
329k
    {AArch64_ORR_ZI, 690, 3 },
22871
329k
    {AArch64_ORR_ZZZ, 693, 1 },
22872
329k
    {AArch64_ORRv16i8, 694, 1 },
22873
329k
    {AArch64_ORRv8i8, 695, 1 },
22874
329k
    {AArch64_PACIA1716, 696, 1 },
22875
329k
    {AArch64_PACIASP, 697, 1 },
22876
329k
    {AArch64_PACIAZ, 698, 1 },
22877
329k
    {AArch64_PACIB1716, 699, 1 },
22878
329k
    {AArch64_PACIBSP, 700, 1 },
22879
329k
    {AArch64_PACIBZ, 701, 1 },
22880
329k
    {AArch64_PACM, 702, 1 },
22881
329k
    {AArch64_PMOV_PZI_B, 703, 1 },
22882
329k
    {AArch64_PMOV_ZIP_B, 704, 1 },
22883
329k
    {AArch64_PRFB_D_PZI, 705, 1 },
22884
329k
    {AArch64_PRFB_PRI, 706, 1 },
22885
329k
    {AArch64_PRFB_S_PZI, 707, 1 },
22886
329k
    {AArch64_PRFD_D_PZI, 708, 1 },
22887
329k
    {AArch64_PRFD_PRI, 709, 1 },
22888
329k
    {AArch64_PRFD_S_PZI, 710, 1 },
22889
329k
    {AArch64_PRFH_D_PZI, 711, 1 },
22890
329k
    {AArch64_PRFH_PRI, 712, 1 },
22891
329k
    {AArch64_PRFH_S_PZI, 713, 1 },
22892
329k
    {AArch64_PRFMroX, 714, 1 },
22893
329k
    {AArch64_PRFMui, 715, 1 },
22894
329k
    {AArch64_PRFUMi, 716, 1 },
22895
329k
    {AArch64_PRFW_D_PZI, 717, 1 },
22896
329k
    {AArch64_PRFW_PRI, 718, 1 },
22897
329k
    {AArch64_PRFW_S_PZI, 719, 1 },
22898
329k
    {AArch64_PTRUES_B, 720, 1 },
22899
329k
    {AArch64_PTRUES_D, 721, 1 },
22900
329k
    {AArch64_PTRUES_H, 722, 1 },
22901
329k
    {AArch64_PTRUES_S, 723, 1 },
22902
329k
    {AArch64_PTRUE_B, 724, 1 },
22903
329k
    {AArch64_PTRUE_D, 725, 1 },
22904
329k
    {AArch64_PTRUE_H, 726, 1 },
22905
329k
    {AArch64_PTRUE_S, 727, 1 },
22906
329k
    {AArch64_RET, 728, 1 },
22907
329k
    {AArch64_SBCSWr, 729, 1 },
22908
329k
    {AArch64_SBCSXr, 730, 1 },
22909
329k
    {AArch64_SBCWr, 731, 1 },
22910
329k
    {AArch64_SBCXr, 732, 1 },
22911
329k
    {AArch64_SBFMWri, 733, 3 },
22912
329k
    {AArch64_SBFMXri, 736, 4 },
22913
329k
    {AArch64_SEL_PPPP, 740, 1 },
22914
329k
    {AArch64_SEL_ZPZZ_B, 741, 1 },
22915
329k
    {AArch64_SEL_ZPZZ_D, 742, 1 },
22916
329k
    {AArch64_SEL_ZPZZ_H, 743, 1 },
22917
329k
    {AArch64_SEL_ZPZZ_S, 744, 1 },
22918
329k
    {AArch64_SMADDLrrr, 745, 1 },
22919
329k
    {AArch64_SMSUBLrrr, 746, 1 },
22920
329k
    {AArch64_SQDECB_XPiI, 747, 2 },
22921
329k
    {AArch64_SQDECB_XPiWdI, 749, 2 },
22922
329k
    {AArch64_SQDECD_XPiI, 751, 2 },
22923
329k
    {AArch64_SQDECD_XPiWdI, 753, 2 },
22924
329k
    {AArch64_SQDECD_ZPiI, 755, 2 },
22925
329k
    {AArch64_SQDECH_XPiI, 757, 2 },
22926
329k
    {AArch64_SQDECH_XPiWdI, 759, 2 },
22927
329k
    {AArch64_SQDECH_ZPiI, 761, 2 },
22928
329k
    {AArch64_SQDECW_XPiI, 763, 2 },
22929
329k
    {AArch64_SQDECW_XPiWdI, 765, 2 },
22930
329k
    {AArch64_SQDECW_ZPiI, 767, 2 },
22931
329k
    {AArch64_SQINCB_XPiI, 769, 2 },
22932
329k
    {AArch64_SQINCB_XPiWdI, 771, 2 },
22933
329k
    {AArch64_SQINCD_XPiI, 773, 2 },
22934
329k
    {AArch64_SQINCD_XPiWdI, 775, 2 },
22935
329k
    {AArch64_SQINCD_ZPiI, 777, 2 },
22936
329k
    {AArch64_SQINCH_XPiI, 779, 2 },
22937
329k
    {AArch64_SQINCH_XPiWdI, 781, 2 },
22938
329k
    {AArch64_SQINCH_ZPiI, 783, 2 },
22939
329k
    {AArch64_SQINCW_XPiI, 785, 2 },
22940
329k
    {AArch64_SQINCW_XPiWdI, 787, 2 },
22941
329k
    {AArch64_SQINCW_ZPiI, 789, 2 },
22942
329k
    {AArch64_SST1B_D_IMM, 791, 1 },
22943
329k
    {AArch64_SST1B_S_IMM, 792, 1 },
22944
329k
    {AArch64_SST1D_IMM, 793, 1 },
22945
329k
    {AArch64_SST1H_D_IMM, 794, 1 },
22946
329k
    {AArch64_SST1H_S_IMM, 795, 1 },
22947
329k
    {AArch64_SST1Q, 796, 1 },
22948
329k
    {AArch64_SST1W_D_IMM, 797, 1 },
22949
329k
    {AArch64_SST1W_IMM, 798, 1 },
22950
329k
    {AArch64_ST1B_2Z_IMM, 799, 1 },
22951
329k
    {AArch64_ST1B_2Z_STRIDED_IMM, 800, 1 },
22952
329k
    {AArch64_ST1B_4Z_IMM, 801, 1 },
22953
329k
    {AArch64_ST1B_4Z_STRIDED_IMM, 802, 1 },
22954
329k
    {AArch64_ST1B_D_IMM, 803, 1 },
22955
329k
    {AArch64_ST1B_H_IMM, 804, 1 },
22956
329k
    {AArch64_ST1B_IMM, 805, 1 },
22957
329k
    {AArch64_ST1B_S_IMM, 806, 1 },
22958
329k
    {AArch64_ST1D_2Z_IMM, 807, 1 },
22959
329k
    {AArch64_ST1D_2Z_STRIDED_IMM, 808, 1 },
22960
329k
    {AArch64_ST1D_4Z_IMM, 809, 1 },
22961
329k
    {AArch64_ST1D_4Z_STRIDED_IMM, 810, 1 },
22962
329k
    {AArch64_ST1D_IMM, 811, 1 },
22963
329k
    {AArch64_ST1D_Q_IMM, 812, 1 },
22964
329k
    {AArch64_ST1Fourv16b_POST, 813, 1 },
22965
329k
    {AArch64_ST1Fourv1d_POST, 814, 1 },
22966
329k
    {AArch64_ST1Fourv2d_POST, 815, 1 },
22967
329k
    {AArch64_ST1Fourv2s_POST, 816, 1 },
22968
329k
    {AArch64_ST1Fourv4h_POST, 817, 1 },
22969
329k
    {AArch64_ST1Fourv4s_POST, 818, 1 },
22970
329k
    {AArch64_ST1Fourv8b_POST, 819, 1 },
22971
329k
    {AArch64_ST1Fourv8h_POST, 820, 1 },
22972
329k
    {AArch64_ST1H_2Z_IMM, 821, 1 },
22973
329k
    {AArch64_ST1H_2Z_STRIDED_IMM, 822, 1 },
22974
329k
    {AArch64_ST1H_4Z_IMM, 823, 1 },
22975
329k
    {AArch64_ST1H_4Z_STRIDED_IMM, 824, 1 },
22976
329k
    {AArch64_ST1H_D_IMM, 825, 1 },
22977
329k
    {AArch64_ST1H_IMM, 826, 1 },
22978
329k
    {AArch64_ST1H_S_IMM, 827, 1 },
22979
329k
    {AArch64_ST1Onev16b_POST, 828, 1 },
22980
329k
    {AArch64_ST1Onev1d_POST, 829, 1 },
22981
329k
    {AArch64_ST1Onev2d_POST, 830, 1 },
22982
329k
    {AArch64_ST1Onev2s_POST, 831, 1 },
22983
329k
    {AArch64_ST1Onev4h_POST, 832, 1 },
22984
329k
    {AArch64_ST1Onev4s_POST, 833, 1 },
22985
329k
    {AArch64_ST1Onev8b_POST, 834, 1 },
22986
329k
    {AArch64_ST1Onev8h_POST, 835, 1 },
22987
329k
    {AArch64_ST1Threev16b_POST, 836, 1 },
22988
329k
    {AArch64_ST1Threev1d_POST, 837, 1 },
22989
329k
    {AArch64_ST1Threev2d_POST, 838, 1 },
22990
329k
    {AArch64_ST1Threev2s_POST, 839, 1 },
22991
329k
    {AArch64_ST1Threev4h_POST, 840, 1 },
22992
329k
    {AArch64_ST1Threev4s_POST, 841, 1 },
22993
329k
    {AArch64_ST1Threev8b_POST, 842, 1 },
22994
329k
    {AArch64_ST1Threev8h_POST, 843, 1 },
22995
329k
    {AArch64_ST1Twov16b_POST, 844, 1 },
22996
329k
    {AArch64_ST1Twov1d_POST, 845, 1 },
22997
329k
    {AArch64_ST1Twov2d_POST, 846, 1 },
22998
329k
    {AArch64_ST1Twov2s_POST, 847, 1 },
22999
329k
    {AArch64_ST1Twov4h_POST, 848, 1 },
23000
329k
    {AArch64_ST1Twov4s_POST, 849, 1 },
23001
329k
    {AArch64_ST1Twov8b_POST, 850, 1 },
23002
329k
    {AArch64_ST1Twov8h_POST, 851, 1 },
23003
329k
    {AArch64_ST1W_2Z_IMM, 852, 1 },
23004
329k
    {AArch64_ST1W_2Z_STRIDED_IMM, 853, 1 },
23005
329k
    {AArch64_ST1W_4Z_IMM, 854, 1 },
23006
329k
    {AArch64_ST1W_4Z_STRIDED_IMM, 855, 1 },
23007
329k
    {AArch64_ST1W_D_IMM, 856, 1 },
23008
329k
    {AArch64_ST1W_IMM, 857, 1 },
23009
329k
    {AArch64_ST1W_Q_IMM, 858, 1 },
23010
329k
    {AArch64_ST1_MXIPXX_H_B, 859, 1 },
23011
329k
    {AArch64_ST1_MXIPXX_H_D, 860, 1 },
23012
329k
    {AArch64_ST1_MXIPXX_H_H, 861, 1 },
23013
329k
    {AArch64_ST1_MXIPXX_H_Q, 862, 1 },
23014
329k
    {AArch64_ST1_MXIPXX_H_S, 863, 1 },
23015
329k
    {AArch64_ST1_MXIPXX_V_B, 864, 1 },
23016
329k
    {AArch64_ST1_MXIPXX_V_D, 865, 1 },
23017
329k
    {AArch64_ST1_MXIPXX_V_H, 866, 1 },
23018
329k
    {AArch64_ST1_MXIPXX_V_Q, 867, 1 },
23019
329k
    {AArch64_ST1_MXIPXX_V_S, 868, 1 },
23020
329k
    {AArch64_ST1i16_POST, 869, 1 },
23021
329k
    {AArch64_ST1i32_POST, 870, 1 },
23022
329k
    {AArch64_ST1i64_POST, 871, 1 },
23023
329k
    {AArch64_ST1i8_POST, 872, 1 },
23024
329k
    {AArch64_ST2B_IMM, 873, 1 },
23025
329k
    {AArch64_ST2D_IMM, 874, 1 },
23026
329k
    {AArch64_ST2Gi, 875, 1 },
23027
329k
    {AArch64_ST2H_IMM, 876, 1 },
23028
329k
    {AArch64_ST2Q_IMM, 877, 1 },
23029
329k
    {AArch64_ST2Twov16b_POST, 878, 1 },
23030
329k
    {AArch64_ST2Twov2d_POST, 879, 1 },
23031
329k
    {AArch64_ST2Twov2s_POST, 880, 1 },
23032
329k
    {AArch64_ST2Twov4h_POST, 881, 1 },
23033
329k
    {AArch64_ST2Twov4s_POST, 882, 1 },
23034
329k
    {AArch64_ST2Twov8b_POST, 883, 1 },
23035
329k
    {AArch64_ST2Twov8h_POST, 884, 1 },
23036
329k
    {AArch64_ST2W_IMM, 885, 1 },
23037
329k
    {AArch64_ST2i16_POST, 886, 1 },
23038
329k
    {AArch64_ST2i32_POST, 887, 1 },
23039
329k
    {AArch64_ST2i64_POST, 888, 1 },
23040
329k
    {AArch64_ST2i8_POST, 889, 1 },
23041
329k
    {AArch64_ST3B_IMM, 890, 1 },
23042
329k
    {AArch64_ST3D_IMM, 891, 1 },
23043
329k
    {AArch64_ST3H_IMM, 892, 1 },
23044
329k
    {AArch64_ST3Q_IMM, 893, 1 },
23045
329k
    {AArch64_ST3Threev16b_POST, 894, 1 },
23046
329k
    {AArch64_ST3Threev2d_POST, 895, 1 },
23047
329k
    {AArch64_ST3Threev2s_POST, 896, 1 },
23048
329k
    {AArch64_ST3Threev4h_POST, 897, 1 },
23049
329k
    {AArch64_ST3Threev4s_POST, 898, 1 },
23050
329k
    {AArch64_ST3Threev8b_POST, 899, 1 },
23051
329k
    {AArch64_ST3Threev8h_POST, 900, 1 },
23052
329k
    {AArch64_ST3W_IMM, 901, 1 },
23053
329k
    {AArch64_ST3i16_POST, 902, 1 },
23054
329k
    {AArch64_ST3i32_POST, 903, 1 },
23055
329k
    {AArch64_ST3i64_POST, 904, 1 },
23056
329k
    {AArch64_ST3i8_POST, 905, 1 },
23057
329k
    {AArch64_ST4B_IMM, 906, 1 },
23058
329k
    {AArch64_ST4D_IMM, 907, 1 },
23059
329k
    {AArch64_ST4Fourv16b_POST, 908, 1 },
23060
329k
    {AArch64_ST4Fourv2d_POST, 909, 1 },
23061
329k
    {AArch64_ST4Fourv2s_POST, 910, 1 },
23062
329k
    {AArch64_ST4Fourv4h_POST, 911, 1 },
23063
329k
    {AArch64_ST4Fourv4s_POST, 912, 1 },
23064
329k
    {AArch64_ST4Fourv8b_POST, 913, 1 },
23065
329k
    {AArch64_ST4Fourv8h_POST, 914, 1 },
23066
329k
    {AArch64_ST4H_IMM, 915, 1 },
23067
329k
    {AArch64_ST4Q_IMM, 916, 1 },
23068
329k
    {AArch64_ST4W_IMM, 917, 1 },
23069
329k
    {AArch64_ST4i16_POST, 918, 1 },
23070
329k
    {AArch64_ST4i32_POST, 919, 1 },
23071
329k
    {AArch64_ST4i64_POST, 920, 1 },
23072
329k
    {AArch64_ST4i8_POST, 921, 1 },
23073
329k
    {AArch64_STGPi, 922, 1 },
23074
329k
    {AArch64_STGi, 923, 1 },
23075
329k
    {AArch64_STLURBi, 924, 1 },
23076
329k
    {AArch64_STLURHi, 925, 1 },
23077
329k
    {AArch64_STLURWi, 926, 1 },
23078
329k
    {AArch64_STLURXi, 927, 1 },
23079
329k
    {AArch64_STLURbi, 928, 1 },
23080
329k
    {AArch64_STLURdi, 929, 1 },
23081
329k
    {AArch64_STLURhi, 930, 1 },
23082
329k
    {AArch64_STLURqi, 931, 1 },
23083
329k
    {AArch64_STLURsi, 932, 1 },
23084
329k
    {AArch64_STNPDi, 933, 1 },
23085
329k
    {AArch64_STNPQi, 934, 1 },
23086
329k
    {AArch64_STNPSi, 935, 1 },
23087
329k
    {AArch64_STNPWi, 936, 1 },
23088
329k
    {AArch64_STNPXi, 937, 1 },
23089
329k
    {AArch64_STNT1B_2Z_IMM, 938, 1 },
23090
329k
    {AArch64_STNT1B_2Z_STRIDED_IMM, 939, 1 },
23091
329k
    {AArch64_STNT1B_4Z_IMM, 940, 1 },
23092
329k
    {AArch64_STNT1B_4Z_STRIDED_IMM, 941, 1 },
23093
329k
    {AArch64_STNT1B_ZRI, 942, 1 },
23094
329k
    {AArch64_STNT1B_ZZR_D_REAL, 943, 1 },
23095
329k
    {AArch64_STNT1B_ZZR_S_REAL, 944, 1 },
23096
329k
    {AArch64_STNT1D_2Z_IMM, 945, 1 },
23097
329k
    {AArch64_STNT1D_2Z_STRIDED_IMM, 946, 1 },
23098
329k
    {AArch64_STNT1D_4Z_IMM, 947, 1 },
23099
329k
    {AArch64_STNT1D_4Z_STRIDED_IMM, 948, 1 },
23100
329k
    {AArch64_STNT1D_ZRI, 949, 1 },
23101
329k
    {AArch64_STNT1D_ZZR_D_REAL, 950, 1 },
23102
329k
    {AArch64_STNT1H_2Z_IMM, 951, 1 },
23103
329k
    {AArch64_STNT1H_2Z_STRIDED_IMM, 952, 1 },
23104
329k
    {AArch64_STNT1H_4Z_IMM, 953, 1 },
23105
329k
    {AArch64_STNT1H_4Z_STRIDED_IMM, 954, 1 },
23106
329k
    {AArch64_STNT1H_ZRI, 955, 1 },
23107
329k
    {AArch64_STNT1H_ZZR_D_REAL, 956, 1 },
23108
329k
    {AArch64_STNT1H_ZZR_S_REAL, 957, 1 },
23109
329k
    {AArch64_STNT1W_2Z_IMM, 958, 1 },
23110
329k
    {AArch64_STNT1W_2Z_STRIDED_IMM, 959, 1 },
23111
329k
    {AArch64_STNT1W_4Z_IMM, 960, 1 },
23112
329k
    {AArch64_STNT1W_4Z_STRIDED_IMM, 961, 1 },
23113
329k
    {AArch64_STNT1W_ZRI, 962, 1 },
23114
329k
    {AArch64_STNT1W_ZZR_D_REAL, 963, 1 },
23115
329k
    {AArch64_STNT1W_ZZR_S_REAL, 964, 1 },
23116
329k
    {AArch64_STPDi, 965, 1 },
23117
329k
    {AArch64_STPQi, 966, 1 },
23118
329k
    {AArch64_STPSi, 967, 1 },
23119
329k
    {AArch64_STPWi, 968, 1 },
23120
329k
    {AArch64_STPXi, 969, 1 },
23121
329k
    {AArch64_STRBBroX, 970, 1 },
23122
329k
    {AArch64_STRBBui, 971, 1 },
23123
329k
    {AArch64_STRBroX, 972, 1 },
23124
329k
    {AArch64_STRBui, 973, 1 },
23125
329k
    {AArch64_STRDroX, 974, 1 },
23126
329k
    {AArch64_STRDui, 975, 1 },
23127
329k
    {AArch64_STRHHroX, 976, 1 },
23128
329k
    {AArch64_STRHHui, 977, 1 },
23129
329k
    {AArch64_STRHroX, 978, 1 },
23130
329k
    {AArch64_STRHui, 979, 1 },
23131
329k
    {AArch64_STRQroX, 980, 1 },
23132
329k
    {AArch64_STRQui, 981, 1 },
23133
329k
    {AArch64_STRSroX, 982, 1 },
23134
329k
    {AArch64_STRSui, 983, 1 },
23135
329k
    {AArch64_STRWroX, 984, 1 },
23136
329k
    {AArch64_STRWui, 985, 1 },
23137
329k
    {AArch64_STRXroX, 986, 1 },
23138
329k
    {AArch64_STRXui, 987, 1 },
23139
329k
    {AArch64_STR_PXI, 988, 1 },
23140
329k
    {AArch64_STR_ZA, 989, 1 },
23141
329k
    {AArch64_STR_ZXI, 990, 1 },
23142
329k
    {AArch64_STTRBi, 991, 1 },
23143
329k
    {AArch64_STTRHi, 992, 1 },
23144
329k
    {AArch64_STTRWi, 993, 1 },
23145
329k
    {AArch64_STTRXi, 994, 1 },
23146
329k
    {AArch64_STURBBi, 995, 1 },
23147
329k
    {AArch64_STURBi, 996, 1 },
23148
329k
    {AArch64_STURDi, 997, 1 },
23149
329k
    {AArch64_STURHHi, 998, 1 },
23150
329k
    {AArch64_STURHi, 999, 1 },
23151
329k
    {AArch64_STURQi, 1000, 1 },
23152
329k
    {AArch64_STURSi, 1001, 1 },
23153
329k
    {AArch64_STURWi, 1002, 1 },
23154
329k
    {AArch64_STURXi, 1003, 1 },
23155
329k
    {AArch64_STZ2Gi, 1004, 1 },
23156
329k
    {AArch64_STZGi, 1005, 1 },
23157
329k
    {AArch64_SUBPT_shift, 1006, 1 },
23158
329k
    {AArch64_SUBSWri, 1007, 1 },
23159
329k
    {AArch64_SUBSWrs, 1008, 5 },
23160
329k
    {AArch64_SUBSWrx, 1013, 3 },
23161
329k
    {AArch64_SUBSXri, 1016, 1 },
23162
329k
    {AArch64_SUBSXrs, 1017, 5 },
23163
329k
    {AArch64_SUBSXrx, 1022, 1 },
23164
329k
    {AArch64_SUBSXrx64, 1023, 3 },
23165
329k
    {AArch64_SUBWrs, 1026, 3 },
23166
329k
    {AArch64_SUBWrx, 1029, 2 },
23167
329k
    {AArch64_SUBXrs, 1031, 3 },
23168
329k
    {AArch64_SUBXrx64, 1034, 2 },
23169
329k
    {AArch64_SYSPxt_XZR, 1036, 1 },
23170
329k
    {AArch64_SYSxt, 1037, 1 },
23171
329k
    {AArch64_UBFMWri, 1038, 3 },
23172
329k
    {AArch64_UBFMXri, 1041, 4 },
23173
329k
    {AArch64_UMADDLrrr, 1045, 1 },
23174
329k
    {AArch64_UMOVvi32, 1046, 1 },
23175
329k
    {AArch64_UMOVvi32_idx0, 1047, 1 },
23176
329k
    {AArch64_UMOVvi64, 1048, 1 },
23177
329k
    {AArch64_UMOVvi64_idx0, 1049, 1 },
23178
329k
    {AArch64_UMSUBLrrr, 1050, 1 },
23179
329k
    {AArch64_UQDECB_WPiI, 1051, 2 },
23180
329k
    {AArch64_UQDECB_XPiI, 1053, 2 },
23181
329k
    {AArch64_UQDECD_WPiI, 1055, 2 },
23182
329k
    {AArch64_UQDECD_XPiI, 1057, 2 },
23183
329k
    {AArch64_UQDECD_ZPiI, 1059, 2 },
23184
329k
    {AArch64_UQDECH_WPiI, 1061, 2 },
23185
329k
    {AArch64_UQDECH_XPiI, 1063, 2 },
23186
329k
    {AArch64_UQDECH_ZPiI, 1065, 2 },
23187
329k
    {AArch64_UQDECW_WPiI, 1067, 2 },
23188
329k
    {AArch64_UQDECW_XPiI, 1069, 2 },
23189
329k
    {AArch64_UQDECW_ZPiI, 1071, 2 },
23190
329k
    {AArch64_UQINCB_WPiI, 1073, 2 },
23191
329k
    {AArch64_UQINCB_XPiI, 1075, 2 },
23192
329k
    {AArch64_UQINCD_WPiI, 1077, 2 },
23193
329k
    {AArch64_UQINCD_XPiI, 1079, 2 },
23194
329k
    {AArch64_UQINCD_ZPiI, 1081, 2 },
23195
329k
    {AArch64_UQINCH_WPiI, 1083, 2 },
23196
329k
    {AArch64_UQINCH_XPiI, 1085, 2 },
23197
329k
    {AArch64_UQINCH_ZPiI, 1087, 2 },
23198
329k
    {AArch64_UQINCW_WPiI, 1089, 2 },
23199
329k
    {AArch64_UQINCW_XPiI, 1091, 2 },
23200
329k
    {AArch64_UQINCW_ZPiI, 1093, 2 },
23201
329k
    {AArch64_XPACLRI, 1095, 1 },
23202
329k
    {AArch64_ZERO_M, 1096, 15 },
23203
329k
  {0},  };
23204
23205
329k
  static const AliasPattern Patterns[] = {
23206
    // AArch64_ADDPT_shift - 0
23207
329k
    {0, 0, 4, 7 },
23208
    // AArch64_ADDSWri - 1
23209
329k
    {17, 7, 4, 2 },
23210
    // AArch64_ADDSWrs - 2
23211
329k
    {30, 9, 4, 4 },
23212
329k
    {41, 13, 4, 3 },
23213
329k
    {56, 16, 4, 4 },
23214
    // AArch64_ADDSWrx - 5
23215
329k
    {30, 20, 4, 4 },
23216
329k
    {72, 24, 4, 3 },
23217
329k
    {56, 27, 4, 4 },
23218
    // AArch64_ADDSXri - 8
23219
329k
    {17, 31, 4, 2 },
23220
    // AArch64_ADDSXrs - 9
23221
329k
    {30, 33, 4, 4 },
23222
329k
    {41, 37, 4, 3 },
23223
329k
    {56, 40, 4, 4 },
23224
    // AArch64_ADDSXrx - 12
23225
329k
    {72, 44, 4, 3 },
23226
    // AArch64_ADDSXrx64 - 13
23227
329k
    {30, 47, 4, 4 },
23228
329k
    {72, 51, 4, 3 },
23229
329k
    {56, 54, 4, 4 },
23230
    // AArch64_ADDWri - 16
23231
329k
    {87, 58, 4, 4 },
23232
329k
    {87, 62, 4, 4 },
23233
    // AArch64_ADDWrs - 18
23234
329k
    {98, 66, 4, 4 },
23235
    // AArch64_ADDWrx - 19
23236
329k
    {98, 70, 4, 4 },
23237
329k
    {98, 74, 4, 4 },
23238
    // AArch64_ADDXri - 21
23239
329k
    {87, 78, 4, 4 },
23240
329k
    {87, 82, 4, 4 },
23241
    // AArch64_ADDXrs - 23
23242
329k
    {98, 86, 4, 4 },
23243
    // AArch64_ADDXrx64 - 24
23244
329k
    {98, 90, 4, 4 },
23245
329k
    {98, 94, 4, 4 },
23246
    // AArch64_ANDSWri - 26
23247
329k
    {113, 98, 3, 2 },
23248
    // AArch64_ANDSWrs - 27
23249
329k
    {126, 100, 4, 4 },
23250
329k
    {137, 104, 4, 3 },
23251
329k
    {152, 107, 4, 4 },
23252
    // AArch64_ANDSXri - 30
23253
329k
    {168, 111, 3, 2 },
23254
    // AArch64_ANDSXrs - 31
23255
329k
    {126, 113, 4, 4 },
23256
329k
    {137, 117, 4, 3 },
23257
329k
    {152, 120, 4, 4 },
23258
    // AArch64_ANDS_PPzPP - 34
23259
329k
    {181, 124, 4, 8 },
23260
    // AArch64_ANDWrs - 35
23261
329k
    {205, 132, 4, 4 },
23262
    // AArch64_ANDXrs - 36
23263
329k
    {205, 136, 4, 4 },
23264
    // AArch64_AND_PPzPP - 37
23265
329k
    {220, 140, 4, 8 },
23266
    // AArch64_AND_ZI - 38
23267
329k
    {243, 148, 3, 7 },
23268
329k
    {264, 155, 3, 7 },
23269
329k
    {285, 162, 3, 7 },
23270
    // AArch64_AUTIA1716 - 41
23271
329k
    {306, 169, 0, 3 },
23272
    // AArch64_AUTIASP - 42
23273
329k
    {316, 172, 0, 3 },
23274
    // AArch64_AUTIAZ - 43
23275
329k
    {324, 175, 0, 3 },
23276
    // AArch64_AUTIB1716 - 44
23277
329k
    {331, 178, 0, 3 },
23278
    // AArch64_AUTIBSP - 45
23279
329k
    {341, 181, 0, 3 },
23280
    // AArch64_AUTIBZ - 46
23281
329k
    {349, 184, 0, 3 },
23282
    // AArch64_BICSWrs - 47
23283
329k
    {356, 187, 4, 4 },
23284
    // AArch64_BICSXrs - 48
23285
329k
    {356, 191, 4, 4 },
23286
    // AArch64_BICWrs - 49
23287
329k
    {372, 195, 4, 4 },
23288
    // AArch64_BICXrs - 50
23289
329k
    {372, 199, 4, 4 },
23290
    // AArch64_CHKFEAT - 51
23291
329k
    {387, 203, 0, 3 },
23292
    // AArch64_CLREX - 52
23293
329k
    {399, 206, 1, 1 },
23294
    // AArch64_CNTB_XPiI - 53
23295
329k
    {405, 207, 3, 7 },
23296
329k
    {413, 214, 3, 7 },
23297
    // AArch64_CNTD_XPiI - 55
23298
329k
    {427, 221, 3, 7 },
23299
329k
    {435, 228, 3, 7 },
23300
    // AArch64_CNTH_XPiI - 57
23301
329k
    {449, 235, 3, 7 },
23302
329k
    {457, 242, 3, 7 },
23303
    // AArch64_CNTW_XPiI - 59
23304
329k
    {471, 249, 3, 7 },
23305
329k
    {479, 256, 3, 7 },
23306
    // AArch64_CPY_ZPmI_B - 61
23307
329k
    {493, 263, 5, 7 },
23308
    // AArch64_CPY_ZPmI_D - 62
23309
329k
    {516, 270, 5, 7 },
23310
    // AArch64_CPY_ZPmI_H - 63
23311
329k
    {539, 277, 5, 7 },
23312
    // AArch64_CPY_ZPmI_S - 64
23313
329k
    {562, 284, 5, 7 },
23314
    // AArch64_CPY_ZPmR_B - 65
23315
329k
    {585, 291, 4, 8 },
23316
    // AArch64_CPY_ZPmR_D - 66
23317
329k
    {606, 299, 4, 8 },
23318
    // AArch64_CPY_ZPmR_H - 67
23319
329k
    {627, 307, 4, 8 },
23320
    // AArch64_CPY_ZPmR_S - 68
23321
329k
    {648, 315, 4, 8 },
23322
    // AArch64_CPY_ZPmV_B - 69
23323
329k
    {585, 323, 4, 8 },
23324
    // AArch64_CPY_ZPmV_D - 70
23325
329k
    {606, 331, 4, 8 },
23326
    // AArch64_CPY_ZPmV_H - 71
23327
329k
    {627, 339, 4, 8 },
23328
    // AArch64_CPY_ZPmV_S - 72
23329
329k
    {648, 347, 4, 8 },
23330
    // AArch64_CPY_ZPzI_B - 73
23331
329k
    {669, 355, 4, 6 },
23332
    // AArch64_CPY_ZPzI_D - 74
23333
329k
    {692, 361, 4, 6 },
23334
    // AArch64_CPY_ZPzI_H - 75
23335
329k
    {715, 367, 4, 6 },
23336
    // AArch64_CPY_ZPzI_S - 76
23337
329k
    {738, 373, 4, 6 },
23338
    // AArch64_CSINCWr - 77
23339
329k
    {761, 379, 4, 4 },
23340
329k
    {775, 383, 4, 4 },
23341
    // AArch64_CSINCXr - 79
23342
329k
    {761, 387, 4, 4 },
23343
329k
    {775, 391, 4, 4 },
23344
    // AArch64_CSINVWr - 81
23345
329k
    {793, 395, 4, 4 },
23346
329k
    {808, 399, 4, 4 },
23347
    // AArch64_CSINVXr - 83
23348
329k
    {793, 403, 4, 4 },
23349
329k
    {808, 407, 4, 4 },
23350
    // AArch64_CSNEGWr - 85
23351
329k
    {826, 411, 4, 4 },
23352
    // AArch64_CSNEGXr - 86
23353
329k
    {826, 415, 4, 4 },
23354
    // AArch64_DCPS1 - 87
23355
329k
    {844, 419, 1, 1 },
23356
    // AArch64_DCPS2 - 88
23357
329k
    {850, 420, 1, 1 },
23358
    // AArch64_DCPS3 - 89
23359
329k
    {856, 421, 1, 4 },
23360
    // AArch64_DECB_XPiI - 90
23361
329k
    {862, 425, 4, 8 },
23362
329k
    {870, 433, 4, 8 },
23363
    // AArch64_DECD_XPiI - 92
23364
329k
    {884, 441, 4, 8 },
23365
329k
    {892, 449, 4, 8 },
23366
    // AArch64_DECD_ZPiI - 94
23367
329k
    {906, 457, 4, 8 },
23368
329k
    {916, 465, 4, 8 },
23369
    // AArch64_DECH_XPiI - 96
23370
329k
    {932, 473, 4, 8 },
23371
329k
    {940, 481, 4, 8 },
23372
    // AArch64_DECH_ZPiI - 98
23373
329k
    {954, 489, 4, 8 },
23374
329k
    {964, 497, 4, 8 },
23375
    // AArch64_DECW_XPiI - 100
23376
329k
    {980, 505, 4, 8 },
23377
329k
    {988, 513, 4, 8 },
23378
    // AArch64_DECW_ZPiI - 102
23379
329k
    {1002, 521, 4, 8 },
23380
329k
    {1012, 529, 4, 8 },
23381
    // AArch64_DSB - 104
23382
329k
    {1028, 537, 1, 1 },
23383
329k
    {1033, 538, 1, 1 },
23384
329k
    {1039, 539, 1, 4 },
23385
    // AArch64_DUPM_ZI - 107
23386
329k
    {1043, 543, 2, 6 },
23387
329k
    {1058, 549, 2, 6 },
23388
329k
    {1073, 555, 2, 6 },
23389
329k
    {1088, 561, 2, 6 },
23390
329k
    {1104, 567, 2, 6 },
23391
329k
    {1120, 573, 2, 6 },
23392
    // AArch64_DUP_ZI_B - 113
23393
329k
    {1136, 579, 3, 5 },
23394
    // AArch64_DUP_ZI_D - 114
23395
329k
    {1151, 584, 3, 5 },
23396
329k
    {1166, 589, 3, 7 },
23397
    // AArch64_DUP_ZI_H - 116
23398
329k
    {1182, 596, 3, 5 },
23399
329k
    {1197, 601, 3, 7 },
23400
    // AArch64_DUP_ZI_S - 118
23401
329k
    {1213, 608, 3, 5 },
23402
329k
    {1228, 613, 3, 7 },
23403
    // AArch64_DUP_ZR_B - 120
23404
329k
    {1244, 620, 2, 6 },
23405
    // AArch64_DUP_ZR_D - 121
23406
329k
    {1257, 626, 2, 6 },
23407
    // AArch64_DUP_ZR_H - 122
23408
329k
    {1270, 632, 2, 6 },
23409
    // AArch64_DUP_ZR_S - 123
23410
329k
    {1283, 638, 2, 6 },
23411
    // AArch64_DUP_ZZI_B - 124
23412
329k
    {1296, 644, 3, 7 },
23413
329k
    {1311, 651, 3, 6 },
23414
    // AArch64_DUP_ZZI_D - 126
23415
329k
    {1330, 657, 3, 7 },
23416
329k
    {1345, 664, 3, 6 },
23417
    // AArch64_DUP_ZZI_H - 128
23418
329k
    {1364, 670, 3, 7 },
23419
329k
    {1379, 677, 3, 6 },
23420
    // AArch64_DUP_ZZI_Q - 130
23421
329k
    {1398, 683, 3, 7 },
23422
329k
    {1413, 690, 3, 6 },
23423
    // AArch64_DUP_ZZI_S - 132
23424
329k
    {1432, 696, 3, 7 },
23425
329k
    {1447, 703, 3, 6 },
23426
    // AArch64_EONWrs - 134
23427
329k
    {1466, 709, 4, 4 },
23428
    // AArch64_EONXrs - 135
23429
329k
    {1466, 713, 4, 4 },
23430
    // AArch64_EORS_PPzPP - 136
23431
329k
    {1481, 717, 4, 8 },
23432
    // AArch64_EORWrs - 137
23433
329k
    {1505, 725, 4, 4 },
23434
    // AArch64_EORXrs - 138
23435
329k
    {1505, 729, 4, 4 },
23436
    // AArch64_EOR_PPzPP - 139
23437
329k
    {1520, 733, 4, 8 },
23438
    // AArch64_EOR_ZI - 140
23439
329k
    {1543, 741, 3, 7 },
23440
329k
    {1564, 748, 3, 7 },
23441
329k
    {1585, 755, 3, 7 },
23442
    // AArch64_EXTRACT_ZPMXI_H_B - 143
23443
329k
    {1606, 762, 6, 8 },
23444
    // AArch64_EXTRACT_ZPMXI_H_D - 144
23445
329k
    {1639, 770, 6, 8 },
23446
    // AArch64_EXTRACT_ZPMXI_H_H - 145
23447
329k
    {1672, 778, 6, 8 },
23448
    // AArch64_EXTRACT_ZPMXI_H_Q - 146
23449
329k
    {1705, 786, 6, 8 },
23450
    // AArch64_EXTRACT_ZPMXI_H_S - 147
23451
329k
    {1738, 794, 6, 8 },
23452
    // AArch64_EXTRACT_ZPMXI_V_B - 148
23453
329k
    {1771, 802, 6, 8 },
23454
    // AArch64_EXTRACT_ZPMXI_V_D - 149
23455
329k
    {1804, 810, 6, 8 },
23456
    // AArch64_EXTRACT_ZPMXI_V_H - 150
23457
329k
    {1837, 818, 6, 8 },
23458
    // AArch64_EXTRACT_ZPMXI_V_Q - 151
23459
329k
    {1870, 826, 6, 8 },
23460
    // AArch64_EXTRACT_ZPMXI_V_S - 152
23461
329k
    {1903, 834, 6, 8 },
23462
    // AArch64_EXTRWrri - 153
23463
329k
    {1936, 842, 4, 3 },
23464
    // AArch64_EXTRXrri - 154
23465
329k
    {1936, 845, 4, 3 },
23466
    // AArch64_FCPY_ZPmI_D - 155
23467
329k
    {1951, 848, 4, 7 },
23468
    // AArch64_FCPY_ZPmI_H - 156
23469
329k
    {1975, 855, 4, 7 },
23470
    // AArch64_FCPY_ZPmI_S - 157
23471
329k
    {1999, 862, 4, 7 },
23472
    // AArch64_FDUP_ZI_D - 158
23473
329k
    {2023, 869, 2, 5 },
23474
    // AArch64_FDUP_ZI_H - 159
23475
329k
    {2039, 874, 2, 5 },
23476
    // AArch64_FDUP_ZI_S - 160
23477
329k
    {2055, 879, 2, 5 },
23478
    // AArch64_GCSPOPM - 161
23479
329k
    {2071, 884, 1, 4 },
23480
    // AArch64_GLD1B_D_IMM_REAL - 162
23481
329k
    {2079, 888, 4, 7 },
23482
    // AArch64_GLD1B_S_IMM_REAL - 163
23483
329k
    {2105, 895, 4, 7 },
23484
    // AArch64_GLD1D_IMM_REAL - 164
23485
329k
    {2131, 902, 4, 7 },
23486
    // AArch64_GLD1H_D_IMM_REAL - 165
23487
329k
    {2157, 909, 4, 7 },
23488
    // AArch64_GLD1H_S_IMM_REAL - 166
23489
329k
    {2183, 916, 4, 7 },
23490
    // AArch64_GLD1Q - 167
23491
329k
    {2209, 923, 4, 7 },
23492
    // AArch64_GLD1SB_D_IMM_REAL - 168
23493
329k
    {2235, 930, 4, 7 },
23494
    // AArch64_GLD1SB_S_IMM_REAL - 169
23495
329k
    {2262, 937, 4, 7 },
23496
    // AArch64_GLD1SH_D_IMM_REAL - 170
23497
329k
    {2289, 944, 4, 7 },
23498
    // AArch64_GLD1SH_S_IMM_REAL - 171
23499
329k
    {2316, 951, 4, 7 },
23500
    // AArch64_GLD1SW_D_IMM_REAL - 172
23501
329k
    {2343, 958, 4, 7 },
23502
    // AArch64_GLD1W_D_IMM_REAL - 173
23503
329k
    {2370, 965, 4, 7 },
23504
    // AArch64_GLD1W_IMM_REAL - 174
23505
329k
    {2396, 972, 4, 7 },
23506
    // AArch64_GLDFF1B_D_IMM_REAL - 175
23507
329k
    {2422, 979, 4, 7 },
23508
    // AArch64_GLDFF1B_S_IMM_REAL - 176
23509
329k
    {2450, 986, 4, 7 },
23510
    // AArch64_GLDFF1D_IMM_REAL - 177
23511
329k
    {2478, 993, 4, 7 },
23512
    // AArch64_GLDFF1H_D_IMM_REAL - 178
23513
329k
    {2506, 1000, 4, 7 },
23514
    // AArch64_GLDFF1H_S_IMM_REAL - 179
23515
329k
    {2534, 1007, 4, 7 },
23516
    // AArch64_GLDFF1SB_D_IMM_REAL - 180
23517
329k
    {2562, 1014, 4, 7 },
23518
    // AArch64_GLDFF1SB_S_IMM_REAL - 181
23519
329k
    {2591, 1021, 4, 7 },
23520
    // AArch64_GLDFF1SH_D_IMM_REAL - 182
23521
329k
    {2620, 1028, 4, 7 },
23522
    // AArch64_GLDFF1SH_S_IMM_REAL - 183
23523
329k
    {2649, 1035, 4, 7 },
23524
    // AArch64_GLDFF1SW_D_IMM_REAL - 184
23525
329k
    {2678, 1042, 4, 7 },
23526
    // AArch64_GLDFF1W_D_IMM_REAL - 185
23527
329k
    {2707, 1049, 4, 7 },
23528
    // AArch64_GLDFF1W_IMM_REAL - 186
23529
329k
    {2735, 1056, 4, 7 },
23530
    // AArch64_HINT - 187
23531
329k
    {2763, 1063, 1, 1 },
23532
329k
    {2767, 1064, 1, 1 },
23533
329k
    {2773, 1065, 1, 1 },
23534
329k
    {2777, 1066, 1, 1 },
23535
329k
    {2781, 1067, 1, 1 },
23536
329k
    {2785, 1068, 1, 1 },
23537
329k
    {2790, 1069, 1, 1 },
23538
329k
    {2794, 1070, 1, 4 },
23539
329k
    {2798, 1074, 1, 1 },
23540
329k
    {2803, 1075, 1, 4 },
23541
329k
    {2807, 1079, 1, 4 },
23542
329k
    {2816, 1083, 1, 4 },
23543
329k
    {2825, 1087, 1, 4 },
23544
329k
    {2836, 1091, 1, 4 },
23545
    // AArch64_INCB_XPiI - 201
23546
329k
    {2843, 1095, 4, 8 },
23547
329k
    {2851, 1103, 4, 8 },
23548
    // AArch64_INCD_XPiI - 203
23549
329k
    {2865, 1111, 4, 8 },
23550
329k
    {2873, 1119, 4, 8 },
23551
    // AArch64_INCD_ZPiI - 205
23552
329k
    {2887, 1127, 4, 8 },
23553
329k
    {2897, 1135, 4, 8 },
23554
    // AArch64_INCH_XPiI - 207
23555
329k
    {2913, 1143, 4, 8 },
23556
329k
    {2921, 1151, 4, 8 },
23557
    // AArch64_INCH_ZPiI - 209
23558
329k
    {2935, 1159, 4, 8 },
23559
329k
    {2945, 1167, 4, 8 },
23560
    // AArch64_INCW_XPiI - 211
23561
329k
    {2961, 1175, 4, 8 },
23562
329k
    {2969, 1183, 4, 8 },
23563
    // AArch64_INCW_ZPiI - 213
23564
329k
    {2983, 1191, 4, 8 },
23565
329k
    {2993, 1199, 4, 8 },
23566
    // AArch64_INSERT_MXIPZ_H_B - 215
23567
329k
    {3009, 1207, 6, 9 },
23568
    // AArch64_INSERT_MXIPZ_H_D - 216
23569
329k
    {3042, 1216, 6, 9 },
23570
    // AArch64_INSERT_MXIPZ_H_H - 217
23571
329k
    {3075, 1225, 6, 9 },
23572
    // AArch64_INSERT_MXIPZ_H_Q - 218
23573
329k
    {3108, 1234, 6, 9 },
23574
    // AArch64_INSERT_MXIPZ_H_S - 219
23575
329k
    {3141, 1243, 6, 9 },
23576
    // AArch64_INSERT_MXIPZ_V_B - 220
23577
329k
    {3174, 1252, 6, 9 },
23578
    // AArch64_INSERT_MXIPZ_V_D - 221
23579
329k
    {3207, 1261, 6, 9 },
23580
    // AArch64_INSERT_MXIPZ_V_H - 222
23581
329k
    {3240, 1270, 6, 9 },
23582
    // AArch64_INSERT_MXIPZ_V_Q - 223
23583
329k
    {3273, 1279, 6, 9 },
23584
    // AArch64_INSERT_MXIPZ_V_S - 224
23585
329k
    {3306, 1288, 6, 9 },
23586
    // AArch64_INSvi16gpr - 225
23587
329k
    {3339, 1297, 4, 7 },
23588
    // AArch64_INSvi16lane - 226
23589
329k
    {3358, 1304, 5, 7 },
23590
    // AArch64_INSvi32gpr - 227
23591
329k
    {3385, 1311, 4, 7 },
23592
    // AArch64_INSvi32lane - 228
23593
329k
    {3404, 1318, 5, 7 },
23594
    // AArch64_INSvi64gpr - 229
23595
329k
    {3431, 1325, 4, 7 },
23596
    // AArch64_INSvi64lane - 230
23597
329k
    {3450, 1332, 5, 7 },
23598
    // AArch64_INSvi8gpr - 231
23599
329k
    {3477, 1339, 4, 7 },
23600
    // AArch64_INSvi8lane - 232
23601
329k
    {3496, 1346, 5, 7 },
23602
    // AArch64_IRG - 233
23603
329k
    {3523, 1353, 3, 6 },
23604
    // AArch64_ISB - 234
23605
329k
    {3534, 1359, 1, 1 },
23606
    // AArch64_LD1B_2Z_IMM - 235
23607
329k
    {3538, 1360, 4, 8 },
23608
    // AArch64_LD1B_2Z_STRIDED_IMM - 236
23609
329k
    {3562, 1368, 4, 7 },
23610
    // AArch64_LD1B_4Z_IMM - 237
23611
329k
    {3538, 1375, 4, 8 },
23612
    // AArch64_LD1B_4Z_STRIDED_IMM - 238
23613
329k
    {3586, 1383, 4, 7 },
23614
    // AArch64_LD1B_D_IMM - 239
23615
329k
    {3610, 1390, 4, 8 },
23616
    // AArch64_LD1B_H_IMM - 240
23617
329k
    {3634, 1398, 4, 8 },
23618
    // AArch64_LD1B_IMM - 241
23619
329k
    {3658, 1406, 4, 8 },
23620
    // AArch64_LD1B_S_IMM - 242
23621
329k
    {3682, 1414, 4, 8 },
23622
    // AArch64_LD1D_2Z_IMM - 243
23623
329k
    {3706, 1422, 4, 8 },
23624
    // AArch64_LD1D_2Z_STRIDED_IMM - 244
23625
329k
    {3730, 1430, 4, 7 },
23626
    // AArch64_LD1D_4Z_IMM - 245
23627
329k
    {3706, 1437, 4, 8 },
23628
    // AArch64_LD1D_4Z_STRIDED_IMM - 246
23629
329k
    {3730, 1445, 4, 7 },
23630
    // AArch64_LD1D_IMM - 247
23631
329k
    {3754, 1452, 4, 8 },
23632
    // AArch64_LD1D_Q_IMM - 248
23633
329k
    {3778, 1460, 4, 7 },
23634
    // AArch64_LD1Fourv16b_POST - 249
23635
329k
    {3802, 1467, 4, 7 },
23636
    // AArch64_LD1Fourv1d_POST - 250
23637
329k
    {3822, 1474, 4, 7 },
23638
    // AArch64_LD1Fourv2d_POST - 251
23639
329k
    {3842, 1481, 4, 7 },
23640
    // AArch64_LD1Fourv2s_POST - 252
23641
329k
    {3862, 1488, 4, 7 },
23642
    // AArch64_LD1Fourv4h_POST - 253
23643
329k
    {3882, 1495, 4, 7 },
23644
    // AArch64_LD1Fourv4s_POST - 254
23645
329k
    {3902, 1502, 4, 7 },
23646
    // AArch64_LD1Fourv8b_POST - 255
23647
329k
    {3922, 1509, 4, 7 },
23648
    // AArch64_LD1Fourv8h_POST - 256
23649
329k
    {3942, 1516, 4, 7 },
23650
    // AArch64_LD1H_2Z_IMM - 257
23651
329k
    {3962, 1523, 4, 8 },
23652
    // AArch64_LD1H_2Z_STRIDED_IMM - 258
23653
329k
    {3986, 1531, 4, 7 },
23654
    // AArch64_LD1H_4Z_IMM - 259
23655
329k
    {3962, 1538, 4, 8 },
23656
    // AArch64_LD1H_4Z_STRIDED_IMM - 260
23657
329k
    {4010, 1546, 4, 7 },
23658
    // AArch64_LD1H_D_IMM - 261
23659
329k
    {4034, 1553, 4, 8 },
23660
    // AArch64_LD1H_IMM - 262
23661
329k
    {4058, 1561, 4, 8 },
23662
    // AArch64_LD1H_S_IMM - 263
23663
329k
    {4082, 1569, 4, 8 },
23664
    // AArch64_LD1Onev16b_POST - 264
23665
329k
    {4106, 1577, 4, 7 },
23666
    // AArch64_LD1Onev1d_POST - 265
23667
329k
    {4126, 1584, 4, 7 },
23668
    // AArch64_LD1Onev2d_POST - 266
23669
329k
    {4145, 1591, 4, 7 },
23670
    // AArch64_LD1Onev2s_POST - 267
23671
329k
    {4165, 1598, 4, 7 },
23672
    // AArch64_LD1Onev4h_POST - 268
23673
329k
    {4184, 1605, 4, 7 },
23674
    // AArch64_LD1Onev4s_POST - 269
23675
329k
    {4203, 1612, 4, 7 },
23676
    // AArch64_LD1Onev8b_POST - 270
23677
329k
    {4223, 1619, 4, 7 },
23678
    // AArch64_LD1Onev8h_POST - 271
23679
329k
    {4242, 1626, 4, 7 },
23680
    // AArch64_LD1RB_D_IMM - 272
23681
329k
    {4262, 1633, 4, 8 },
23682
    // AArch64_LD1RB_H_IMM - 273
23683
329k
    {4287, 1641, 4, 8 },
23684
    // AArch64_LD1RB_IMM - 274
23685
329k
    {4312, 1649, 4, 8 },
23686
    // AArch64_LD1RB_S_IMM - 275
23687
329k
    {4337, 1657, 4, 8 },
23688
    // AArch64_LD1RD_IMM - 276
23689
329k
    {4362, 1665, 4, 8 },
23690
    // AArch64_LD1RH_D_IMM - 277
23691
329k
    {4387, 1673, 4, 8 },
23692
    // AArch64_LD1RH_IMM - 278
23693
329k
    {4412, 1681, 4, 8 },
23694
    // AArch64_LD1RH_S_IMM - 279
23695
329k
    {4437, 1689, 4, 8 },
23696
    // AArch64_LD1RO_B_IMM - 280
23697
329k
    {4462, 1697, 4, 10 },
23698
    // AArch64_LD1RO_D_IMM - 281
23699
329k
    {4488, 1707, 4, 10 },
23700
    // AArch64_LD1RO_H_IMM - 282
23701
329k
    {4514, 1717, 4, 10 },
23702
    // AArch64_LD1RO_W_IMM - 283
23703
329k
    {4540, 1727, 4, 10 },
23704
    // AArch64_LD1RQ_B_IMM - 284
23705
329k
    {4566, 1737, 4, 8 },
23706
    // AArch64_LD1RQ_D_IMM - 285
23707
329k
    {4592, 1745, 4, 8 },
23708
    // AArch64_LD1RQ_H_IMM - 286
23709
329k
    {4618, 1753, 4, 8 },
23710
    // AArch64_LD1RQ_W_IMM - 287
23711
329k
    {4644, 1761, 4, 8 },
23712
    // AArch64_LD1RSB_D_IMM - 288
23713
329k
    {4670, 1769, 4, 8 },
23714
    // AArch64_LD1RSB_H_IMM - 289
23715
329k
    {4696, 1777, 4, 8 },
23716
    // AArch64_LD1RSB_S_IMM - 290
23717
329k
    {4722, 1785, 4, 8 },
23718
    // AArch64_LD1RSH_D_IMM - 291
23719
329k
    {4748, 1793, 4, 8 },
23720
    // AArch64_LD1RSH_S_IMM - 292
23721
329k
    {4774, 1801, 4, 8 },
23722
    // AArch64_LD1RSW_IMM - 293
23723
329k
    {4800, 1809, 4, 8 },
23724
    // AArch64_LD1RW_D_IMM - 294
23725
329k
    {4826, 1817, 4, 8 },
23726
    // AArch64_LD1RW_IMM - 295
23727
329k
    {4851, 1825, 4, 8 },
23728
    // AArch64_LD1Rv16b_POST - 296
23729
329k
    {4876, 1833, 4, 7 },
23730
    // AArch64_LD1Rv1d_POST - 297
23731
329k
    {4896, 1840, 4, 7 },
23732
    // AArch64_LD1Rv2d_POST - 298
23733
329k
    {4916, 1847, 4, 7 },
23734
    // AArch64_LD1Rv2s_POST - 299
23735
329k
    {4936, 1854, 4, 7 },
23736
    // AArch64_LD1Rv4h_POST - 300
23737
329k
    {4956, 1861, 4, 7 },
23738
    // AArch64_LD1Rv4s_POST - 301
23739
329k
    {4976, 1868, 4, 7 },
23740
    // AArch64_LD1Rv8b_POST - 302
23741
329k
    {4996, 1875, 4, 7 },
23742
    // AArch64_LD1Rv8h_POST - 303
23743
329k
    {5016, 1882, 4, 7 },
23744
    // AArch64_LD1SB_D_IMM - 304
23745
329k
    {5036, 1889, 4, 8 },
23746
    // AArch64_LD1SB_H_IMM - 305
23747
329k
    {5061, 1897, 4, 8 },
23748
    // AArch64_LD1SB_S_IMM - 306
23749
329k
    {5086, 1905, 4, 8 },
23750
    // AArch64_LD1SH_D_IMM - 307
23751
329k
    {5111, 1913, 4, 8 },
23752
    // AArch64_LD1SH_S_IMM - 308
23753
329k
    {5136, 1921, 4, 8 },
23754
    // AArch64_LD1SW_D_IMM - 309
23755
329k
    {5161, 1929, 4, 8 },
23756
    // AArch64_LD1Threev16b_POST - 310
23757
329k
    {5186, 1937, 4, 7 },
23758
    // AArch64_LD1Threev1d_POST - 311
23759
329k
    {5206, 1944, 4, 7 },
23760
    // AArch64_LD1Threev2d_POST - 312
23761
329k
    {5226, 1951, 4, 7 },
23762
    // AArch64_LD1Threev2s_POST - 313
23763
329k
    {5246, 1958, 4, 7 },
23764
    // AArch64_LD1Threev4h_POST - 314
23765
329k
    {5266, 1965, 4, 7 },
23766
    // AArch64_LD1Threev4s_POST - 315
23767
329k
    {5286, 1972, 4, 7 },
23768
    // AArch64_LD1Threev8b_POST - 316
23769
329k
    {5306, 1979, 4, 7 },
23770
    // AArch64_LD1Threev8h_POST - 317
23771
329k
    {5326, 1986, 4, 7 },
23772
    // AArch64_LD1Twov16b_POST - 318
23773
329k
    {5346, 1993, 4, 7 },
23774
    // AArch64_LD1Twov1d_POST - 319
23775
329k
    {5366, 2000, 4, 7 },
23776
    // AArch64_LD1Twov2d_POST - 320
23777
329k
    {5386, 2007, 4, 7 },
23778
    // AArch64_LD1Twov2s_POST - 321
23779
329k
    {5406, 2014, 4, 7 },
23780
    // AArch64_LD1Twov4h_POST - 322
23781
329k
    {5426, 2021, 4, 7 },
23782
    // AArch64_LD1Twov4s_POST - 323
23783
329k
    {5446, 2028, 4, 7 },
23784
    // AArch64_LD1Twov8b_POST - 324
23785
329k
    {5466, 2035, 4, 7 },
23786
    // AArch64_LD1Twov8h_POST - 325
23787
329k
    {5486, 2042, 4, 7 },
23788
    // AArch64_LD1W_2Z_IMM - 326
23789
329k
    {5506, 2049, 4, 8 },
23790
    // AArch64_LD1W_2Z_STRIDED_IMM - 327
23791
329k
    {5530, 2057, 4, 7 },
23792
    // AArch64_LD1W_4Z_IMM - 328
23793
329k
    {5506, 2064, 4, 8 },
23794
    // AArch64_LD1W_4Z_STRIDED_IMM - 329
23795
329k
    {5530, 2072, 4, 7 },
23796
    // AArch64_LD1W_D_IMM - 330
23797
329k
    {5554, 2079, 4, 8 },
23798
    // AArch64_LD1W_IMM - 331
23799
329k
    {5578, 2087, 4, 8 },
23800
    // AArch64_LD1W_Q_IMM - 332
23801
329k
    {5602, 2095, 4, 7 },
23802
    // AArch64_LD1_MXIPXX_H_B - 333
23803
329k
    {5626, 2102, 6, 9 },
23804
    // AArch64_LD1_MXIPXX_H_D - 334
23805
329k
    {5662, 2111, 6, 9 },
23806
    // AArch64_LD1_MXIPXX_H_H - 335
23807
329k
    {5698, 2120, 6, 9 },
23808
    // AArch64_LD1_MXIPXX_H_Q - 336
23809
329k
    {5734, 2129, 6, 9 },
23810
    // AArch64_LD1_MXIPXX_H_S - 337
23811
329k
    {5770, 2138, 6, 9 },
23812
    // AArch64_LD1_MXIPXX_V_B - 338
23813
329k
    {5806, 2147, 6, 9 },
23814
    // AArch64_LD1_MXIPXX_V_D - 339
23815
329k
    {5842, 2156, 6, 9 },
23816
    // AArch64_LD1_MXIPXX_V_H - 340
23817
329k
    {5878, 2165, 6, 9 },
23818
    // AArch64_LD1_MXIPXX_V_Q - 341
23819
329k
    {5914, 2174, 6, 9 },
23820
    // AArch64_LD1_MXIPXX_V_S - 342
23821
329k
    {5950, 2183, 6, 9 },
23822
    // AArch64_LD1i16_POST - 343
23823
329k
    {5986, 2192, 6, 9 },
23824
    // AArch64_LD1i32_POST - 344
23825
329k
    {6009, 2201, 6, 9 },
23826
    // AArch64_LD1i64_POST - 345
23827
329k
    {6032, 2210, 6, 9 },
23828
    // AArch64_LD1i8_POST - 346
23829
329k
    {6055, 2219, 6, 9 },
23830
    // AArch64_LD2B_IMM - 347
23831
329k
    {6078, 2228, 4, 8 },
23832
    // AArch64_LD2D_IMM - 348
23833
329k
    {6102, 2236, 4, 8 },
23834
    // AArch64_LD2H_IMM - 349
23835
329k
    {6126, 2244, 4, 8 },
23836
    // AArch64_LD2Q_IMM - 350
23837
329k
    {6150, 2252, 4, 8 },
23838
    // AArch64_LD2Rv16b_POST - 351
23839
329k
    {6174, 2260, 4, 7 },
23840
    // AArch64_LD2Rv1d_POST - 352
23841
329k
    {6194, 2267, 4, 7 },
23842
    // AArch64_LD2Rv2d_POST - 353
23843
329k
    {6215, 2274, 4, 7 },
23844
    // AArch64_LD2Rv2s_POST - 354
23845
329k
    {6236, 2281, 4, 7 },
23846
    // AArch64_LD2Rv4h_POST - 355
23847
329k
    {6256, 2288, 4, 7 },
23848
    // AArch64_LD2Rv4s_POST - 356
23849
329k
    {6276, 2295, 4, 7 },
23850
    // AArch64_LD2Rv8b_POST - 357
23851
329k
    {6296, 2302, 4, 7 },
23852
    // AArch64_LD2Rv8h_POST - 358
23853
329k
    {6316, 2309, 4, 7 },
23854
    // AArch64_LD2Twov16b_POST - 359
23855
329k
    {6336, 2316, 4, 7 },
23856
    // AArch64_LD2Twov2d_POST - 360
23857
329k
    {6356, 2323, 4, 7 },
23858
    // AArch64_LD2Twov2s_POST - 361
23859
329k
    {6376, 2330, 4, 7 },
23860
    // AArch64_LD2Twov4h_POST - 362
23861
329k
    {6396, 2337, 4, 7 },
23862
    // AArch64_LD2Twov4s_POST - 363
23863
329k
    {6416, 2344, 4, 7 },
23864
    // AArch64_LD2Twov8b_POST - 364
23865
329k
    {6436, 2351, 4, 7 },
23866
    // AArch64_LD2Twov8h_POST - 365
23867
329k
    {6456, 2358, 4, 7 },
23868
    // AArch64_LD2W_IMM - 366
23869
329k
    {6476, 2365, 4, 8 },
23870
    // AArch64_LD2i16_POST - 367
23871
329k
    {6500, 2373, 6, 9 },
23872
    // AArch64_LD2i32_POST - 368
23873
329k
    {6523, 2382, 6, 9 },
23874
    // AArch64_LD2i64_POST - 369
23875
329k
    {6546, 2391, 6, 9 },
23876
    // AArch64_LD2i8_POST - 370
23877
329k
    {6570, 2400, 6, 9 },
23878
    // AArch64_LD3B_IMM - 371
23879
329k
    {6593, 2409, 4, 8 },
23880
    // AArch64_LD3D_IMM - 372
23881
329k
    {6617, 2417, 4, 8 },
23882
    // AArch64_LD3H_IMM - 373
23883
329k
    {6641, 2425, 4, 8 },
23884
    // AArch64_LD3Q_IMM - 374
23885
329k
    {6665, 2433, 4, 8 },
23886
    // AArch64_LD3Rv16b_POST - 375
23887
329k
    {6689, 2441, 4, 7 },
23888
    // AArch64_LD3Rv1d_POST - 376
23889
329k
    {6709, 2448, 4, 7 },
23890
    // AArch64_LD3Rv2d_POST - 377
23891
329k
    {6730, 2455, 4, 7 },
23892
    // AArch64_LD3Rv2s_POST - 378
23893
329k
    {6751, 2462, 4, 7 },
23894
    // AArch64_LD3Rv4h_POST - 379
23895
329k
    {6772, 2469, 4, 7 },
23896
    // AArch64_LD3Rv4s_POST - 380
23897
329k
    {6792, 2476, 4, 7 },
23898
    // AArch64_LD3Rv8b_POST - 381
23899
329k
    {6813, 2483, 4, 7 },
23900
    // AArch64_LD3Rv8h_POST - 382
23901
329k
    {6833, 2490, 4, 7 },
23902
    // AArch64_LD3Threev16b_POST - 383
23903
329k
    {6853, 2497, 4, 7 },
23904
    // AArch64_LD3Threev2d_POST - 384
23905
329k
    {6873, 2504, 4, 7 },
23906
    // AArch64_LD3Threev2s_POST - 385
23907
329k
    {6893, 2511, 4, 7 },
23908
    // AArch64_LD3Threev4h_POST - 386
23909
329k
    {6913, 2518, 4, 7 },
23910
    // AArch64_LD3Threev4s_POST - 387
23911
329k
    {6933, 2525, 4, 7 },
23912
    // AArch64_LD3Threev8b_POST - 388
23913
329k
    {6953, 2532, 4, 7 },
23914
    // AArch64_LD3Threev8h_POST - 389
23915
329k
    {6973, 2539, 4, 7 },
23916
    // AArch64_LD3W_IMM - 390
23917
329k
    {6993, 2546, 4, 8 },
23918
    // AArch64_LD3i16_POST - 391
23919
329k
    {7017, 2554, 6, 9 },
23920
    // AArch64_LD3i32_POST - 392
23921
329k
    {7040, 2563, 6, 9 },
23922
    // AArch64_LD3i64_POST - 393
23923
329k
    {7064, 2572, 6, 9 },
23924
    // AArch64_LD3i8_POST - 394
23925
329k
    {7088, 2581, 6, 9 },
23926
    // AArch64_LD4B_IMM - 395
23927
329k
    {7111, 2590, 4, 8 },
23928
    // AArch64_LD4D_IMM - 396
23929
329k
    {7135, 2598, 4, 8 },
23930
    // AArch64_LD4Fourv16b_POST - 397
23931
329k
    {7159, 2606, 4, 7 },
23932
    // AArch64_LD4Fourv2d_POST - 398
23933
329k
    {7179, 2613, 4, 7 },
23934
    // AArch64_LD4Fourv2s_POST - 399
23935
329k
    {7199, 2620, 4, 7 },
23936
    // AArch64_LD4Fourv4h_POST - 400
23937
329k
    {7219, 2627, 4, 7 },
23938
    // AArch64_LD4Fourv4s_POST - 401
23939
329k
    {7239, 2634, 4, 7 },
23940
    // AArch64_LD4Fourv8b_POST - 402
23941
329k
    {7259, 2641, 4, 7 },
23942
    // AArch64_LD4Fourv8h_POST - 403
23943
329k
    {7279, 2648, 4, 7 },
23944
    // AArch64_LD4H_IMM - 404
23945
329k
    {7299, 2655, 4, 8 },
23946
    // AArch64_LD4Q_IMM - 405
23947
329k
    {7323, 2663, 4, 8 },
23948
    // AArch64_LD4Rv16b_POST - 406
23949
329k
    {7347, 2671, 4, 7 },
23950
    // AArch64_LD4Rv1d_POST - 407
23951
329k
    {7367, 2678, 4, 7 },
23952
    // AArch64_LD4Rv2d_POST - 408
23953
329k
    {7388, 2685, 4, 7 },
23954
    // AArch64_LD4Rv2s_POST - 409
23955
329k
    {7409, 2692, 4, 7 },
23956
    // AArch64_LD4Rv4h_POST - 410
23957
329k
    {7430, 2699, 4, 7 },
23958
    // AArch64_LD4Rv4s_POST - 411
23959
329k
    {7450, 2706, 4, 7 },
23960
    // AArch64_LD4Rv8b_POST - 412
23961
329k
    {7471, 2713, 4, 7 },
23962
    // AArch64_LD4Rv8h_POST - 413
23963
329k
    {7491, 2720, 4, 7 },
23964
    // AArch64_LD4W_IMM - 414
23965
329k
    {7511, 2727, 4, 8 },
23966
    // AArch64_LD4i16_POST - 415
23967
329k
    {7535, 2735, 6, 9 },
23968
    // AArch64_LD4i32_POST - 416
23969
329k
    {7558, 2744, 6, 9 },
23970
    // AArch64_LD4i64_POST - 417
23971
329k
    {7582, 2753, 6, 9 },
23972
    // AArch64_LD4i8_POST - 418
23973
329k
    {7606, 2762, 6, 9 },
23974
    // AArch64_LDADDB - 419
23975
329k
    {7629, 2771, 3, 6 },
23976
    // AArch64_LDADDH - 420
23977
329k
    {7645, 2777, 3, 6 },
23978
    // AArch64_LDADDLB - 421
23979
329k
    {7661, 2783, 3, 6 },
23980
    // AArch64_LDADDLH - 422
23981
329k
    {7678, 2789, 3, 6 },
23982
    // AArch64_LDADDLW - 423
23983
329k
    {7695, 2795, 3, 6 },
23984
    // AArch64_LDADDLX - 424
23985
329k
    {7695, 2801, 3, 6 },
23986
    // AArch64_LDADDW - 425
23987
329k
    {7711, 2807, 3, 6 },
23988
    // AArch64_LDADDX - 426
23989
329k
    {7711, 2813, 3, 6 },
23990
    // AArch64_LDAPURBi - 427
23991
329k
    {7726, 2819, 3, 6 },
23992
    // AArch64_LDAPURHi - 428
23993
329k
    {7743, 2825, 3, 6 },
23994
    // AArch64_LDAPURSBWi - 429
23995
329k
    {7760, 2831, 3, 6 },
23996
    // AArch64_LDAPURSBXi - 430
23997
329k
    {7760, 2837, 3, 6 },
23998
    // AArch64_LDAPURSHWi - 431
23999
329k
    {7778, 2843, 3, 6 },
24000
    // AArch64_LDAPURSHXi - 432
24001
329k
    {7778, 2849, 3, 6 },
24002
    // AArch64_LDAPURSWi - 433
24003
329k
    {7796, 2855, 3, 6 },
24004
    // AArch64_LDAPURXi - 434
24005
329k
    {7814, 2861, 3, 6 },
24006
    // AArch64_LDAPURbi - 435
24007
329k
    {7814, 2867, 3, 9 },
24008
    // AArch64_LDAPURdi - 436
24009
329k
    {7814, 2876, 3, 9 },
24010
    // AArch64_LDAPURhi - 437
24011
329k
    {7814, 2885, 3, 9 },
24012
    // AArch64_LDAPURi - 438
24013
329k
    {7814, 2894, 3, 6 },
24014
    // AArch64_LDAPURqi - 439
24015
329k
    {7814, 2900, 3, 9 },
24016
    // AArch64_LDAPURsi - 440
24017
329k
    {7814, 2909, 3, 9 },
24018
    // AArch64_LDCLRB - 441
24019
329k
    {7830, 2918, 3, 6 },
24020
    // AArch64_LDCLRH - 442
24021
329k
    {7846, 2924, 3, 6 },
24022
    // AArch64_LDCLRLB - 443
24023
329k
    {7862, 2930, 3, 6 },
24024
    // AArch64_LDCLRLH - 444
24025
329k
    {7879, 2936, 3, 6 },
24026
    // AArch64_LDCLRLW - 445
24027
329k
    {7896, 2942, 3, 6 },
24028
    // AArch64_LDCLRLX - 446
24029
329k
    {7896, 2948, 3, 6 },
24030
    // AArch64_LDCLRW - 447
24031
329k
    {7912, 2954, 3, 6 },
24032
    // AArch64_LDCLRX - 448
24033
329k
    {7912, 2960, 3, 6 },
24034
    // AArch64_LDEORB - 449
24035
329k
    {7927, 2966, 3, 6 },
24036
    // AArch64_LDEORH - 450
24037
329k
    {7943, 2972, 3, 6 },
24038
    // AArch64_LDEORLB - 451
24039
329k
    {7959, 2978, 3, 6 },
24040
    // AArch64_LDEORLH - 452
24041
329k
    {7976, 2984, 3, 6 },
24042
    // AArch64_LDEORLW - 453
24043
329k
    {7993, 2990, 3, 6 },
24044
    // AArch64_LDEORLX - 454
24045
329k
    {7993, 2996, 3, 6 },
24046
    // AArch64_LDEORW - 455
24047
329k
    {8009, 3002, 3, 6 },
24048
    // AArch64_LDEORX - 456
24049
329k
    {8009, 3008, 3, 6 },
24050
    // AArch64_LDFF1B_D_REAL - 457
24051
329k
    {8024, 3014, 4, 7 },
24052
    // AArch64_LDFF1B_H_REAL - 458
24053
329k
    {8050, 3021, 4, 7 },
24054
    // AArch64_LDFF1B_REAL - 459
24055
329k
    {8076, 3028, 4, 7 },
24056
    // AArch64_LDFF1B_S_REAL - 460
24057
329k
    {8102, 3035, 4, 7 },
24058
    // AArch64_LDFF1D_REAL - 461
24059
329k
    {8128, 3042, 4, 7 },
24060
    // AArch64_LDFF1H_D_REAL - 462
24061
329k
    {8154, 3049, 4, 7 },
24062
    // AArch64_LDFF1H_REAL - 463
24063
329k
    {8180, 3056, 4, 7 },
24064
    // AArch64_LDFF1H_S_REAL - 464
24065
329k
    {8206, 3063, 4, 7 },
24066
    // AArch64_LDFF1SB_D_REAL - 465
24067
329k
    {8232, 3070, 4, 7 },
24068
    // AArch64_LDFF1SB_H_REAL - 466
24069
329k
    {8259, 3077, 4, 7 },
24070
    // AArch64_LDFF1SB_S_REAL - 467
24071
329k
    {8286, 3084, 4, 7 },
24072
    // AArch64_LDFF1SH_D_REAL - 468
24073
329k
    {8313, 3091, 4, 7 },
24074
    // AArch64_LDFF1SH_S_REAL - 469
24075
329k
    {8340, 3098, 4, 7 },
24076
    // AArch64_LDFF1SW_D_REAL - 470
24077
329k
    {8367, 3105, 4, 7 },
24078
    // AArch64_LDFF1W_D_REAL - 471
24079
329k
    {8394, 3112, 4, 7 },
24080
    // AArch64_LDFF1W_REAL - 472
24081
329k
    {8420, 3119, 4, 7 },
24082
    // AArch64_LDG - 473
24083
329k
    {8446, 3126, 4, 7 },
24084
    // AArch64_LDNF1B_D_IMM_REAL - 474
24085
329k
    {8459, 3133, 4, 7 },
24086
    // AArch64_LDNF1B_H_IMM_REAL - 475
24087
329k
    {8485, 3140, 4, 7 },
24088
    // AArch64_LDNF1B_IMM_REAL - 476
24089
329k
    {8511, 3147, 4, 7 },
24090
    // AArch64_LDNF1B_S_IMM_REAL - 477
24091
329k
    {8537, 3154, 4, 7 },
24092
    // AArch64_LDNF1D_IMM_REAL - 478
24093
329k
    {8563, 3161, 4, 7 },
24094
    // AArch64_LDNF1H_D_IMM_REAL - 479
24095
329k
    {8589, 3168, 4, 7 },
24096
    // AArch64_LDNF1H_IMM_REAL - 480
24097
329k
    {8615, 3175, 4, 7 },
24098
    // AArch64_LDNF1H_S_IMM_REAL - 481
24099
329k
    {8641, 3182, 4, 7 },
24100
    // AArch64_LDNF1SB_D_IMM_REAL - 482
24101
329k
    {8667, 3189, 4, 7 },
24102
    // AArch64_LDNF1SB_H_IMM_REAL - 483
24103
329k
    {8694, 3196, 4, 7 },
24104
    // AArch64_LDNF1SB_S_IMM_REAL - 484
24105
329k
    {8721, 3203, 4, 7 },
24106
    // AArch64_LDNF1SH_D_IMM_REAL - 485
24107
329k
    {8748, 3210, 4, 7 },
24108
    // AArch64_LDNF1SH_S_IMM_REAL - 486
24109
329k
    {8775, 3217, 4, 7 },
24110
    // AArch64_LDNF1SW_D_IMM_REAL - 487
24111
329k
    {8802, 3224, 4, 7 },
24112
    // AArch64_LDNF1W_D_IMM_REAL - 488
24113
329k
    {8829, 3231, 4, 7 },
24114
    // AArch64_LDNF1W_IMM_REAL - 489
24115
329k
    {8855, 3238, 4, 7 },
24116
    // AArch64_LDNPDi - 490
24117
329k
    {8881, 3245, 4, 7 },
24118
    // AArch64_LDNPQi - 491
24119
329k
    {8881, 3252, 4, 7 },
24120
    // AArch64_LDNPSi - 492
24121
329k
    {8881, 3259, 4, 7 },
24122
    // AArch64_LDNPWi - 493
24123
329k
    {8881, 3266, 4, 4 },
24124
    // AArch64_LDNPXi - 494
24125
329k
    {8881, 3270, 4, 4 },
24126
    // AArch64_LDNT1B_2Z_IMM - 495
24127
329k
    {8899, 3274, 4, 8 },
24128
    // AArch64_LDNT1B_2Z_STRIDED_IMM - 496
24129
329k
    {8925, 3282, 4, 7 },
24130
    // AArch64_LDNT1B_4Z_IMM - 497
24131
329k
    {8899, 3289, 4, 8 },
24132
    // AArch64_LDNT1B_4Z_STRIDED_IMM - 498
24133
329k
    {8951, 3297, 4, 7 },
24134
    // AArch64_LDNT1B_ZRI - 499
24135
329k
    {8977, 3304, 4, 8 },
24136
    // AArch64_LDNT1B_ZZR_D_REAL - 500
24137
329k
    {9003, 3312, 4, 7 },
24138
    // AArch64_LDNT1B_ZZR_S_REAL - 501
24139
329k
    {9031, 3319, 4, 7 },
24140
    // AArch64_LDNT1D_2Z_IMM - 502
24141
329k
    {9059, 3326, 4, 8 },
24142
    // AArch64_LDNT1D_2Z_STRIDED_IMM - 503
24143
329k
    {9085, 3334, 4, 7 },
24144
    // AArch64_LDNT1D_4Z_IMM - 504
24145
329k
    {9059, 3341, 4, 8 },
24146
    // AArch64_LDNT1D_4Z_STRIDED_IMM - 505
24147
329k
    {9085, 3349, 4, 7 },
24148
    // AArch64_LDNT1D_ZRI - 506
24149
329k
    {9111, 3356, 4, 8 },
24150
    // AArch64_LDNT1D_ZZR_D_REAL - 507
24151
329k
    {9137, 3364, 4, 7 },
24152
    // AArch64_LDNT1H_2Z_IMM - 508
24153
329k
    {9165, 3371, 4, 8 },
24154
    // AArch64_LDNT1H_2Z_STRIDED_IMM - 509
24155
329k
    {9191, 3379, 4, 7 },
24156
    // AArch64_LDNT1H_4Z_IMM - 510
24157
329k
    {9165, 3386, 4, 8 },
24158
    // AArch64_LDNT1H_4Z_STRIDED_IMM - 511
24159
329k
    {9217, 3394, 4, 7 },
24160
    // AArch64_LDNT1H_ZRI - 512
24161
329k
    {9243, 3401, 4, 8 },
24162
    // AArch64_LDNT1H_ZZR_D_REAL - 513
24163
329k
    {9269, 3409, 4, 7 },
24164
    // AArch64_LDNT1H_ZZR_S_REAL - 514
24165
329k
    {9297, 3416, 4, 7 },
24166
    // AArch64_LDNT1SB_ZZR_D_REAL - 515
24167
329k
    {9325, 3423, 4, 7 },
24168
    // AArch64_LDNT1SB_ZZR_S_REAL - 516
24169
329k
    {9354, 3430, 4, 7 },
24170
    // AArch64_LDNT1SH_ZZR_D_REAL - 517
24171
329k
    {9383, 3437, 4, 7 },
24172
    // AArch64_LDNT1SH_ZZR_S_REAL - 518
24173
329k
    {9412, 3444, 4, 7 },
24174
    // AArch64_LDNT1SW_ZZR_D_REAL - 519
24175
329k
    {9441, 3451, 4, 7 },
24176
    // AArch64_LDNT1W_2Z_IMM - 520
24177
329k
    {9470, 3458, 4, 8 },
24178
    // AArch64_LDNT1W_2Z_STRIDED_IMM - 521
24179
329k
    {9496, 3466, 4, 7 },
24180
    // AArch64_LDNT1W_4Z_IMM - 522
24181
329k
    {9470, 3473, 4, 8 },
24182
    // AArch64_LDNT1W_4Z_STRIDED_IMM - 523
24183
329k
    {9496, 3481, 4, 7 },
24184
    // AArch64_LDNT1W_ZRI - 524
24185
329k
    {9522, 3488, 4, 8 },
24186
    // AArch64_LDNT1W_ZZR_D_REAL - 525
24187
329k
    {9548, 3496, 4, 7 },
24188
    // AArch64_LDNT1W_ZZR_S_REAL - 526
24189
329k
    {9576, 3503, 4, 7 },
24190
    // AArch64_LDPDi - 527
24191
329k
    {9604, 3510, 4, 7 },
24192
    // AArch64_LDPQi - 528
24193
329k
    {9604, 3517, 4, 7 },
24194
    // AArch64_LDPSWi - 529
24195
329k
    {9621, 3524, 4, 4 },
24196
    // AArch64_LDPSi - 530
24197
329k
    {9604, 3528, 4, 7 },
24198
    // AArch64_LDPWi - 531
24199
329k
    {9604, 3535, 4, 4 },
24200
    // AArch64_LDPXi - 532
24201
329k
    {9604, 3539, 4, 4 },
24202
    // AArch64_LDRAAindexed - 533
24203
329k
    {9640, 3543, 3, 6 },
24204
    // AArch64_LDRABindexed - 534
24205
329k
    {9655, 3549, 3, 6 },
24206
    // AArch64_LDRBBroX - 535
24207
329k
    {9670, 3555, 5, 5 },
24208
    // AArch64_LDRBBui - 536
24209
329k
    {9688, 3560, 3, 3 },
24210
    // AArch64_LDRBroX - 537
24211
329k
    {9702, 3563, 5, 8 },
24212
    // AArch64_LDRBui - 538
24213
329k
    {9719, 3571, 3, 6 },
24214
    // AArch64_LDRDroX - 539
24215
329k
    {9702, 3577, 5, 8 },
24216
    // AArch64_LDRDui - 540
24217
329k
    {9719, 3585, 3, 6 },
24218
    // AArch64_LDRHHroX - 541
24219
329k
    {9732, 3591, 5, 5 },
24220
    // AArch64_LDRHHui - 542
24221
329k
    {9750, 3596, 3, 3 },
24222
    // AArch64_LDRHroX - 543
24223
329k
    {9702, 3599, 5, 8 },
24224
    // AArch64_LDRHui - 544
24225
329k
    {9719, 3607, 3, 6 },
24226
    // AArch64_LDRQroX - 545
24227
329k
    {9702, 3613, 5, 8 },
24228
    // AArch64_LDRQui - 546
24229
329k
    {9719, 3621, 3, 6 },
24230
    // AArch64_LDRSBWroX - 547
24231
329k
    {9764, 3627, 5, 5 },
24232
    // AArch64_LDRSBWui - 548
24233
329k
    {9783, 3632, 3, 3 },
24234
    // AArch64_LDRSBXroX - 549
24235
329k
    {9764, 3635, 5, 5 },
24236
    // AArch64_LDRSBXui - 550
24237
329k
    {9783, 3640, 3, 3 },
24238
    // AArch64_LDRSHWroX - 551
24239
329k
    {9798, 3643, 5, 5 },
24240
    // AArch64_LDRSHWui - 552
24241
329k
    {9817, 3648, 3, 3 },
24242
    // AArch64_LDRSHXroX - 553
24243
329k
    {9798, 3651, 5, 5 },
24244
    // AArch64_LDRSHXui - 554
24245
329k
    {9817, 3656, 3, 3 },
24246
    // AArch64_LDRSWroX - 555
24247
329k
    {9832, 3659, 5, 5 },
24248
    // AArch64_LDRSWui - 556
24249
329k
    {9851, 3664, 3, 3 },
24250
    // AArch64_LDRSroX - 557
24251
329k
    {9702, 3667, 5, 8 },
24252
    // AArch64_LDRSui - 558
24253
329k
    {9719, 3675, 3, 6 },
24254
    // AArch64_LDRWroX - 559
24255
329k
    {9702, 3681, 5, 5 },
24256
    // AArch64_LDRWui - 560
24257
329k
    {9719, 3686, 3, 3 },
24258
    // AArch64_LDRXroX - 561
24259
329k
    {9702, 3689, 5, 5 },
24260
    // AArch64_LDRXui - 562
24261
329k
    {9719, 3694, 3, 3 },
24262
    // AArch64_LDR_PXI - 563
24263
329k
    {9866, 3697, 3, 7 },
24264
    // AArch64_LDR_ZA - 564
24265
329k
    {9881, 3704, 5, 8 },
24266
    // AArch64_LDR_ZXI - 565
24267
329k
    {9866, 3712, 3, 7 },
24268
    // AArch64_LDSETB - 566
24269
329k
    {9906, 3719, 3, 6 },
24270
    // AArch64_LDSETH - 567
24271
329k
    {9922, 3725, 3, 6 },
24272
    // AArch64_LDSETLB - 568
24273
329k
    {9938, 3731, 3, 6 },
24274
    // AArch64_LDSETLH - 569
24275
329k
    {9955, 3737, 3, 6 },
24276
    // AArch64_LDSETLW - 570
24277
329k
    {9972, 3743, 3, 6 },
24278
    // AArch64_LDSETLX - 571
24279
329k
    {9972, 3749, 3, 6 },
24280
    // AArch64_LDSETW - 572
24281
329k
    {9988, 3755, 3, 6 },
24282
    // AArch64_LDSETX - 573
24283
329k
    {9988, 3761, 3, 6 },
24284
    // AArch64_LDSMAXB - 574
24285
329k
    {10003, 3767, 3, 6 },
24286
    // AArch64_LDSMAXH - 575
24287
329k
    {10020, 3773, 3, 6 },
24288
    // AArch64_LDSMAXLB - 576
24289
329k
    {10037, 3779, 3, 6 },
24290
    // AArch64_LDSMAXLH - 577
24291
329k
    {10055, 3785, 3, 6 },
24292
    // AArch64_LDSMAXLW - 578
24293
329k
    {10073, 3791, 3, 6 },
24294
    // AArch64_LDSMAXLX - 579
24295
329k
    {10073, 3797, 3, 6 },
24296
    // AArch64_LDSMAXW - 580
24297
329k
    {10090, 3803, 3, 6 },
24298
    // AArch64_LDSMAXX - 581
24299
329k
    {10090, 3809, 3, 6 },
24300
    // AArch64_LDSMINB - 582
24301
329k
    {10106, 3815, 3, 6 },
24302
    // AArch64_LDSMINH - 583
24303
329k
    {10123, 3821, 3, 6 },
24304
    // AArch64_LDSMINLB - 584
24305
329k
    {10140, 3827, 3, 6 },
24306
    // AArch64_LDSMINLH - 585
24307
329k
    {10158, 3833, 3, 6 },
24308
    // AArch64_LDSMINLW - 586
24309
329k
    {10176, 3839, 3, 6 },
24310
    // AArch64_LDSMINLX - 587
24311
329k
    {10176, 3845, 3, 6 },
24312
    // AArch64_LDSMINW - 588
24313
329k
    {10193, 3851, 3, 6 },
24314
    // AArch64_LDSMINX - 589
24315
329k
    {10193, 3857, 3, 6 },
24316
    // AArch64_LDTRBi - 590
24317
329k
    {10209, 3863, 3, 3 },
24318
    // AArch64_LDTRHi - 591
24319
329k
    {10224, 3866, 3, 3 },
24320
    // AArch64_LDTRSBWi - 592
24321
329k
    {10239, 3869, 3, 3 },
24322
    // AArch64_LDTRSBXi - 593
24323
329k
    {10239, 3872, 3, 3 },
24324
    // AArch64_LDTRSHWi - 594
24325
329k
    {10255, 3875, 3, 3 },
24326
    // AArch64_LDTRSHXi - 595
24327
329k
    {10255, 3878, 3, 3 },
24328
    // AArch64_LDTRSWi - 596
24329
329k
    {10271, 3881, 3, 3 },
24330
    // AArch64_LDTRWi - 597
24331
329k
    {10287, 3884, 3, 3 },
24332
    // AArch64_LDTRXi - 598
24333
329k
    {10287, 3887, 3, 3 },
24334
    // AArch64_LDUMAXB - 599
24335
329k
    {10301, 3890, 3, 6 },
24336
    // AArch64_LDUMAXH - 600
24337
329k
    {10318, 3896, 3, 6 },
24338
    // AArch64_LDUMAXLB - 601
24339
329k
    {10335, 3902, 3, 6 },
24340
    // AArch64_LDUMAXLH - 602
24341
329k
    {10353, 3908, 3, 6 },
24342
    // AArch64_LDUMAXLW - 603
24343
329k
    {10371, 3914, 3, 6 },
24344
    // AArch64_LDUMAXLX - 604
24345
329k
    {10371, 3920, 3, 6 },
24346
    // AArch64_LDUMAXW - 605
24347
329k
    {10388, 3926, 3, 6 },
24348
    // AArch64_LDUMAXX - 606
24349
329k
    {10388, 3932, 3, 6 },
24350
    // AArch64_LDUMINB - 607
24351
329k
    {10404, 3938, 3, 6 },
24352
    // AArch64_LDUMINH - 608
24353
329k
    {10421, 3944, 3, 6 },
24354
    // AArch64_LDUMINLB - 609
24355
329k
    {10438, 3950, 3, 6 },
24356
    // AArch64_LDUMINLH - 610
24357
329k
    {10456, 3956, 3, 6 },
24358
    // AArch64_LDUMINLW - 611
24359
329k
    {10474, 3962, 3, 6 },
24360
    // AArch64_LDUMINLX - 612
24361
329k
    {10474, 3968, 3, 6 },
24362
    // AArch64_LDUMINW - 613
24363
329k
    {10491, 3974, 3, 6 },
24364
    // AArch64_LDUMINX - 614
24365
329k
    {10491, 3980, 3, 6 },
24366
    // AArch64_LDURBBi - 615
24367
329k
    {10507, 3986, 3, 3 },
24368
    // AArch64_LDURBi - 616
24369
329k
    {10522, 3989, 3, 6 },
24370
    // AArch64_LDURDi - 617
24371
329k
    {10522, 3995, 3, 6 },
24372
    // AArch64_LDURHHi - 618
24373
329k
    {10536, 4001, 3, 3 },
24374
    // AArch64_LDURHi - 619
24375
329k
    {10522, 4004, 3, 6 },
24376
    // AArch64_LDURQi - 620
24377
329k
    {10522, 4010, 3, 6 },
24378
    // AArch64_LDURSBWi - 621
24379
329k
    {10551, 4016, 3, 3 },
24380
    // AArch64_LDURSBXi - 622
24381
329k
    {10551, 4019, 3, 3 },
24382
    // AArch64_LDURSHWi - 623
24383
329k
    {10567, 4022, 3, 3 },
24384
    // AArch64_LDURSHXi - 624
24385
329k
    {10567, 4025, 3, 3 },
24386
    // AArch64_LDURSWi - 625
24387
329k
    {10583, 4028, 3, 3 },
24388
    // AArch64_LDURSi - 626
24389
329k
    {10522, 4031, 3, 6 },
24390
    // AArch64_LDURWi - 627
24391
329k
    {10522, 4037, 3, 3 },
24392
    // AArch64_LDURXi - 628
24393
329k
    {10522, 4040, 3, 3 },
24394
    // AArch64_MADDWrrr - 629
24395
329k
    {10599, 4043, 4, 4 },
24396
    // AArch64_MADDXrrr - 630
24397
329k
    {10599, 4047, 4, 4 },
24398
    // AArch64_MOVA_2ZMXI_H_B - 631
24399
329k
    {10614, 4051, 4, 6 },
24400
    // AArch64_MOVA_2ZMXI_H_D - 632
24401
329k
    {10639, 4057, 4, 6 },
24402
    // AArch64_MOVA_2ZMXI_H_H - 633
24403
329k
    {10664, 4063, 4, 6 },
24404
    // AArch64_MOVA_2ZMXI_H_S - 634
24405
329k
    {10689, 4069, 4, 6 },
24406
    // AArch64_MOVA_2ZMXI_V_B - 635
24407
329k
    {10714, 4075, 4, 6 },
24408
    // AArch64_MOVA_2ZMXI_V_D - 636
24409
329k
    {10739, 4081, 4, 6 },
24410
    // AArch64_MOVA_2ZMXI_V_H - 637
24411
329k
    {10764, 4087, 4, 6 },
24412
    // AArch64_MOVA_2ZMXI_V_S - 638
24413
329k
    {10789, 4093, 4, 6 },
24414
    // AArch64_MOVA_4ZMXI_H_B - 639
24415
329k
    {10814, 4099, 4, 6 },
24416
    // AArch64_MOVA_4ZMXI_H_D - 640
24417
329k
    {10839, 4105, 4, 6 },
24418
    // AArch64_MOVA_4ZMXI_H_H - 641
24419
329k
    {10864, 4111, 4, 6 },
24420
    // AArch64_MOVA_4ZMXI_H_S - 642
24421
329k
    {10889, 4117, 4, 6 },
24422
    // AArch64_MOVA_4ZMXI_V_B - 643
24423
329k
    {10914, 4123, 4, 6 },
24424
    // AArch64_MOVA_4ZMXI_V_D - 644
24425
329k
    {10939, 4129, 4, 6 },
24426
    // AArch64_MOVA_4ZMXI_V_H - 645
24427
329k
    {10964, 4135, 4, 6 },
24428
    // AArch64_MOVA_4ZMXI_V_S - 646
24429
329k
    {10989, 4141, 4, 6 },
24430
    // AArch64_MOVA_MXI2Z_H_B - 647
24431
329k
    {11014, 4147, 5, 8 },
24432
    // AArch64_MOVA_MXI2Z_H_D - 648
24433
329k
    {11039, 4155, 5, 8 },
24434
    // AArch64_MOVA_MXI2Z_H_H - 649
24435
329k
    {11064, 4163, 5, 8 },
24436
    // AArch64_MOVA_MXI2Z_H_S - 650
24437
329k
    {11089, 4171, 5, 8 },
24438
    // AArch64_MOVA_MXI2Z_V_B - 651
24439
329k
    {11114, 4179, 5, 8 },
24440
    // AArch64_MOVA_MXI2Z_V_D - 652
24441
329k
    {11139, 4187, 5, 8 },
24442
    // AArch64_MOVA_MXI2Z_V_H - 653
24443
329k
    {11164, 4195, 5, 8 },
24444
    // AArch64_MOVA_MXI2Z_V_S - 654
24445
329k
    {11189, 4203, 5, 8 },
24446
    // AArch64_MOVA_MXI4Z_H_B - 655
24447
329k
    {11214, 4211, 5, 8 },
24448
    // AArch64_MOVA_MXI4Z_H_D - 656
24449
329k
    {11239, 4219, 5, 8 },
24450
    // AArch64_MOVA_MXI4Z_H_H - 657
24451
329k
    {11264, 4227, 5, 8 },
24452
    // AArch64_MOVA_MXI4Z_H_S - 658
24453
329k
    {11289, 4235, 5, 8 },
24454
    // AArch64_MOVA_MXI4Z_V_B - 659
24455
329k
    {11314, 4243, 5, 8 },
24456
    // AArch64_MOVA_MXI4Z_V_D - 660
24457
329k
    {11339, 4251, 5, 8 },
24458
    // AArch64_MOVA_MXI4Z_V_H - 661
24459
329k
    {11364, 4259, 5, 8 },
24460
    // AArch64_MOVA_MXI4Z_V_S - 662
24461
329k
    {11389, 4267, 5, 8 },
24462
    // AArch64_MOVA_VG2_2ZMXI - 663
24463
329k
    {11414, 4275, 4, 6 },
24464
    // AArch64_MOVA_VG2_MXI2Z - 664
24465
329k
    {11445, 4281, 5, 8 },
24466
    // AArch64_MOVA_VG4_4ZMXI - 665
24467
329k
    {11476, 4289, 4, 6 },
24468
    // AArch64_MOVA_VG4_MXI4Z - 666
24469
329k
    {11507, 4295, 5, 8 },
24470
    // AArch64_MOVT - 667
24471
329k
    {11538, 4303, 3, 9 },
24472
    // AArch64_MSRpstatesvcrImm1 - 668
24473
329k
    {11552, 4312, 2, 2 },
24474
329k
    {11560, 4314, 2, 2 },
24475
329k
    {11571, 4316, 2, 2 },
24476
329k
    {11582, 4318, 2, 2 },
24477
329k
    {11589, 4320, 2, 2 },
24478
329k
    {11599, 4322, 2, 2 },
24479
    // AArch64_MSUBWrrr - 674
24480
329k
    {11609, 4324, 4, 4 },
24481
    // AArch64_MSUBXrrr - 675
24482
329k
    {11609, 4328, 4, 4 },
24483
    // AArch64_NOTv16i8 - 676
24484
329k
    {11625, 4332, 2, 2 },
24485
    // AArch64_NOTv8i8 - 677
24486
329k
    {11648, 4334, 2, 2 },
24487
    // AArch64_ORNWrs - 678
24488
329k
    {11669, 4336, 4, 4 },
24489
329k
    {11680, 4340, 4, 3 },
24490
329k
    {11695, 4343, 4, 4 },
24491
    // AArch64_ORNXrs - 681
24492
329k
    {11669, 4347, 4, 4 },
24493
329k
    {11680, 4351, 4, 3 },
24494
329k
    {11695, 4354, 4, 4 },
24495
    // AArch64_ORRS_PPzPP - 684
24496
329k
    {11710, 4358, 4, 8 },
24497
    // AArch64_ORRWrs - 685
24498
329k
    {11726, 4366, 4, 4 },
24499
329k
    {11737, 4370, 4, 4 },
24500
    // AArch64_ORRXrs - 687
24501
329k
    {11726, 4374, 4, 4 },
24502
329k
    {11737, 4378, 4, 4 },
24503
    // AArch64_ORR_PPzPP - 689
24504
329k
    {11752, 4382, 4, 8 },
24505
    // AArch64_ORR_ZI - 690
24506
329k
    {11767, 4390, 3, 7 },
24507
329k
    {11788, 4397, 3, 7 },
24508
329k
    {11809, 4404, 3, 7 },
24509
    // AArch64_ORR_ZZZ - 693
24510
329k
    {11830, 4411, 3, 7 },
24511
    // AArch64_ORRv16i8 - 694
24512
329k
    {11845, 4418, 3, 3 },
24513
    // AArch64_ORRv8i8 - 695
24514
329k
    {11868, 4421, 3, 3 },
24515
    // AArch64_PACIA1716 - 696
24516
329k
    {11889, 4424, 0, 3 },
24517
    // AArch64_PACIASP - 697
24518
329k
    {11899, 4427, 0, 3 },
24519
    // AArch64_PACIAZ - 698
24520
329k
    {11907, 4430, 0, 3 },
24521
    // AArch64_PACIB1716 - 699
24522
329k
    {11914, 4433, 0, 3 },
24523
    // AArch64_PACIBSP - 700
24524
329k
    {11924, 4436, 0, 3 },
24525
    // AArch64_PACIBZ - 701
24526
329k
    {11932, 4439, 0, 3 },
24527
    // AArch64_PACM - 702
24528
329k
    {11939, 4442, 0, 3 },
24529
    // AArch64_PMOV_PZI_B - 703
24530
329k
    {11944, 4445, 3, 7 },
24531
    // AArch64_PMOV_ZIP_B - 704
24532
329k
    {11960, 4452, 4, 8 },
24533
    // AArch64_PRFB_D_PZI - 705
24534
329k
    {11976, 4460, 4, 7 },
24535
    // AArch64_PRFB_PRI - 706
24536
329k
    {12000, 4467, 4, 8 },
24537
    // AArch64_PRFB_S_PZI - 707
24538
329k
    {12022, 4475, 4, 7 },
24539
    // AArch64_PRFD_D_PZI - 708
24540
329k
    {12046, 4482, 4, 7 },
24541
    // AArch64_PRFD_PRI - 709
24542
329k
    {12070, 4489, 4, 8 },
24543
    // AArch64_PRFD_S_PZI - 710
24544
329k
    {12092, 4497, 4, 7 },
24545
    // AArch64_PRFH_D_PZI - 711
24546
329k
    {12116, 4504, 4, 7 },
24547
    // AArch64_PRFH_PRI - 712
24548
329k
    {12140, 4511, 4, 8 },
24549
    // AArch64_PRFH_S_PZI - 713
24550
329k
    {12162, 4519, 4, 7 },
24551
    // AArch64_PRFMroX - 714
24552
329k
    {12186, 4526, 5, 5 },
24553
    // AArch64_PRFMui - 715
24554
329k
    {12206, 4531, 3, 3 },
24555
    // AArch64_PRFUMi - 716
24556
329k
    {12222, 4534, 3, 3 },
24557
    // AArch64_PRFW_D_PZI - 717
24558
329k
    {12239, 4537, 4, 7 },
24559
    // AArch64_PRFW_PRI - 718
24560
329k
    {12263, 4544, 4, 8 },
24561
    // AArch64_PRFW_S_PZI - 719
24562
329k
    {12285, 4552, 4, 7 },
24563
    // AArch64_PTRUES_B - 720
24564
329k
    {12309, 4559, 2, 6 },
24565
    // AArch64_PTRUES_D - 721
24566
329k
    {12321, 4565, 2, 6 },
24567
    // AArch64_PTRUES_H - 722
24568
329k
    {12333, 4571, 2, 6 },
24569
    // AArch64_PTRUES_S - 723
24570
329k
    {12345, 4577, 2, 6 },
24571
    // AArch64_PTRUE_B - 724
24572
329k
    {12357, 4583, 2, 6 },
24573
    // AArch64_PTRUE_D - 725
24574
329k
    {12368, 4589, 2, 6 },
24575
    // AArch64_PTRUE_H - 726
24576
329k
    {12379, 4595, 2, 6 },
24577
    // AArch64_PTRUE_S - 727
24578
329k
    {12390, 4601, 2, 6 },
24579
    // AArch64_RET - 728
24580
329k
    {12401, 4607, 1, 1 },
24581
    // AArch64_SBCSWr - 729
24582
329k
    {12405, 4608, 3, 3 },
24583
    // AArch64_SBCSXr - 730
24584
329k
    {12405, 4611, 3, 3 },
24585
    // AArch64_SBCWr - 731
24586
329k
    {12417, 4614, 3, 3 },
24587
    // AArch64_SBCXr - 732
24588
329k
    {12417, 4617, 3, 3 },
24589
    // AArch64_SBFMWri - 733
24590
329k
    {12428, 4620, 4, 4 },
24591
329k
    {12443, 4624, 4, 4 },
24592
329k
    {12455, 4628, 4, 4 },
24593
    // AArch64_SBFMXri - 736
24594
329k
    {12428, 4632, 4, 4 },
24595
329k
    {12443, 4636, 4, 4 },
24596
329k
    {12455, 4640, 4, 4 },
24597
329k
    {12467, 4644, 4, 4 },
24598
    // AArch64_SEL_PPPP - 740
24599
329k
    {12479, 4648, 4, 8 },
24600
    // AArch64_SEL_ZPZZ_B - 741
24601
329k
    {12479, 4656, 4, 8 },
24602
    // AArch64_SEL_ZPZZ_D - 742
24603
329k
    {12502, 4664, 4, 8 },
24604
    // AArch64_SEL_ZPZZ_H - 743
24605
329k
    {12525, 4672, 4, 8 },
24606
    // AArch64_SEL_ZPZZ_S - 744
24607
329k
    {12548, 4680, 4, 8 },
24608
    // AArch64_SMADDLrrr - 745
24609
329k
    {12571, 4688, 4, 4 },
24610
    // AArch64_SMSUBLrrr - 746
24611
329k
    {12588, 4692, 4, 4 },
24612
    // AArch64_SQDECB_XPiI - 747
24613
329k
    {12606, 4696, 4, 8 },
24614
329k
    {12616, 4704, 4, 8 },
24615
    // AArch64_SQDECB_XPiWdI - 749
24616
329k
    {12632, 4712, 4, 8 },
24617
329k
    {12648, 4720, 4, 8 },
24618
    // AArch64_SQDECD_XPiI - 751
24619
329k
    {12670, 4728, 4, 8 },
24620
329k
    {12680, 4736, 4, 8 },
24621
    // AArch64_SQDECD_XPiWdI - 753
24622
329k
    {12696, 4744, 4, 8 },
24623
329k
    {12712, 4752, 4, 8 },
24624
    // AArch64_SQDECD_ZPiI - 755
24625
329k
    {12734, 4760, 4, 8 },
24626
329k
    {12746, 4768, 4, 8 },
24627
    // AArch64_SQDECH_XPiI - 757
24628
329k
    {12764, 4776, 4, 8 },
24629
329k
    {12774, 4784, 4, 8 },
24630
    // AArch64_SQDECH_XPiWdI - 759
24631
329k
    {12790, 4792, 4, 8 },
24632
329k
    {12806, 4800, 4, 8 },
24633
    // AArch64_SQDECH_ZPiI - 761
24634
329k
    {12828, 4808, 4, 8 },
24635
329k
    {12840, 4816, 4, 8 },
24636
    // AArch64_SQDECW_XPiI - 763
24637
329k
    {12858, 4824, 4, 8 },
24638
329k
    {12868, 4832, 4, 8 },
24639
    // AArch64_SQDECW_XPiWdI - 765
24640
329k
    {12884, 4840, 4, 8 },
24641
329k
    {12900, 4848, 4, 8 },
24642
    // AArch64_SQDECW_ZPiI - 767
24643
329k
    {12922, 4856, 4, 8 },
24644
329k
    {12934, 4864, 4, 8 },
24645
    // AArch64_SQINCB_XPiI - 769
24646
329k
    {12952, 4872, 4, 8 },
24647
329k
    {12962, 4880, 4, 8 },
24648
    // AArch64_SQINCB_XPiWdI - 771
24649
329k
    {12978, 4888, 4, 8 },
24650
329k
    {12994, 4896, 4, 8 },
24651
    // AArch64_SQINCD_XPiI - 773
24652
329k
    {13016, 4904, 4, 8 },
24653
329k
    {13026, 4912, 4, 8 },
24654
    // AArch64_SQINCD_XPiWdI - 775
24655
329k
    {13042, 4920, 4, 8 },
24656
329k
    {13058, 4928, 4, 8 },
24657
    // AArch64_SQINCD_ZPiI - 777
24658
329k
    {13080, 4936, 4, 8 },
24659
329k
    {13092, 4944, 4, 8 },
24660
    // AArch64_SQINCH_XPiI - 779
24661
329k
    {13110, 4952, 4, 8 },
24662
329k
    {13120, 4960, 4, 8 },
24663
    // AArch64_SQINCH_XPiWdI - 781
24664
329k
    {13136, 4968, 4, 8 },
24665
329k
    {13152, 4976, 4, 8 },
24666
    // AArch64_SQINCH_ZPiI - 783
24667
329k
    {13174, 4984, 4, 8 },
24668
329k
    {13186, 4992, 4, 8 },
24669
    // AArch64_SQINCW_XPiI - 785
24670
329k
    {13204, 5000, 4, 8 },
24671
329k
    {13214, 5008, 4, 8 },
24672
    // AArch64_SQINCW_XPiWdI - 787
24673
329k
    {13230, 5016, 4, 8 },
24674
329k
    {13246, 5024, 4, 8 },
24675
    // AArch64_SQINCW_ZPiI - 789
24676
329k
    {13268, 5032, 4, 8 },
24677
329k
    {13280, 5040, 4, 8 },
24678
    // AArch64_SST1B_D_IMM - 791
24679
329k
    {13298, 5048, 4, 7 },
24680
    // AArch64_SST1B_S_IMM - 792
24681
329k
    {13322, 5055, 4, 7 },
24682
    // AArch64_SST1D_IMM - 793
24683
329k
    {13346, 5062, 4, 7 },
24684
    // AArch64_SST1H_D_IMM - 794
24685
329k
    {13370, 5069, 4, 7 },
24686
    // AArch64_SST1H_S_IMM - 795
24687
329k
    {13394, 5076, 4, 7 },
24688
    // AArch64_SST1Q - 796
24689
329k
    {13418, 5083, 4, 7 },
24690
    // AArch64_SST1W_D_IMM - 797
24691
329k
    {13442, 5090, 4, 7 },
24692
    // AArch64_SST1W_IMM - 798
24693
329k
    {13466, 5097, 4, 7 },
24694
    // AArch64_ST1B_2Z_IMM - 799
24695
329k
    {13490, 5104, 4, 8 },
24696
    // AArch64_ST1B_2Z_STRIDED_IMM - 800
24697
329k
    {13512, 5112, 4, 7 },
24698
    // AArch64_ST1B_4Z_IMM - 801
24699
329k
    {13490, 5119, 4, 8 },
24700
    // AArch64_ST1B_4Z_STRIDED_IMM - 802
24701
329k
    {13534, 5127, 4, 7 },
24702
    // AArch64_ST1B_D_IMM - 803
24703
329k
    {13556, 5134, 4, 8 },
24704
    // AArch64_ST1B_H_IMM - 804
24705
329k
    {13578, 5142, 4, 8 },
24706
    // AArch64_ST1B_IMM - 805
24707
329k
    {13600, 5150, 4, 8 },
24708
    // AArch64_ST1B_S_IMM - 806
24709
329k
    {13622, 5158, 4, 8 },
24710
    // AArch64_ST1D_2Z_IMM - 807
24711
329k
    {13644, 5166, 4, 8 },
24712
    // AArch64_ST1D_2Z_STRIDED_IMM - 808
24713
329k
    {13666, 5174, 4, 7 },
24714
    // AArch64_ST1D_4Z_IMM - 809
24715
329k
    {13644, 5181, 4, 8 },
24716
    // AArch64_ST1D_4Z_STRIDED_IMM - 810
24717
329k
    {13666, 5189, 4, 7 },
24718
    // AArch64_ST1D_IMM - 811
24719
329k
    {13688, 5196, 4, 8 },
24720
    // AArch64_ST1D_Q_IMM - 812
24721
329k
    {13710, 5204, 4, 7 },
24722
    // AArch64_ST1Fourv16b_POST - 813
24723
329k
    {13732, 5211, 4, 7 },
24724
    // AArch64_ST1Fourv1d_POST - 814
24725
329k
    {13752, 5218, 4, 7 },
24726
    // AArch64_ST1Fourv2d_POST - 815
24727
329k
    {13772, 5225, 4, 7 },
24728
    // AArch64_ST1Fourv2s_POST - 816
24729
329k
    {13792, 5232, 4, 7 },
24730
    // AArch64_ST1Fourv4h_POST - 817
24731
329k
    {13812, 5239, 4, 7 },
24732
    // AArch64_ST1Fourv4s_POST - 818
24733
329k
    {13832, 5246, 4, 7 },
24734
    // AArch64_ST1Fourv8b_POST - 819
24735
329k
    {13852, 5253, 4, 7 },
24736
    // AArch64_ST1Fourv8h_POST - 820
24737
329k
    {13872, 5260, 4, 7 },
24738
    // AArch64_ST1H_2Z_IMM - 821
24739
329k
    {13892, 5267, 4, 8 },
24740
    // AArch64_ST1H_2Z_STRIDED_IMM - 822
24741
329k
    {13914, 5275, 4, 7 },
24742
    // AArch64_ST1H_4Z_IMM - 823
24743
329k
    {13892, 5282, 4, 8 },
24744
    // AArch64_ST1H_4Z_STRIDED_IMM - 824
24745
329k
    {13936, 5290, 4, 7 },
24746
    // AArch64_ST1H_D_IMM - 825
24747
329k
    {13958, 5297, 4, 8 },
24748
    // AArch64_ST1H_IMM - 826
24749
329k
    {13980, 5305, 4, 8 },
24750
    // AArch64_ST1H_S_IMM - 827
24751
329k
    {14002, 5313, 4, 8 },
24752
    // AArch64_ST1Onev16b_POST - 828
24753
329k
    {14024, 5321, 4, 7 },
24754
    // AArch64_ST1Onev1d_POST - 829
24755
329k
    {14044, 5328, 4, 7 },
24756
    // AArch64_ST1Onev2d_POST - 830
24757
329k
    {14063, 5335, 4, 7 },
24758
    // AArch64_ST1Onev2s_POST - 831
24759
329k
    {14083, 5342, 4, 7 },
24760
    // AArch64_ST1Onev4h_POST - 832
24761
329k
    {14102, 5349, 4, 7 },
24762
    // AArch64_ST1Onev4s_POST - 833
24763
329k
    {14121, 5356, 4, 7 },
24764
    // AArch64_ST1Onev8b_POST - 834
24765
329k
    {14141, 5363, 4, 7 },
24766
    // AArch64_ST1Onev8h_POST - 835
24767
329k
    {14160, 5370, 4, 7 },
24768
    // AArch64_ST1Threev16b_POST - 836
24769
329k
    {14180, 5377, 4, 7 },
24770
    // AArch64_ST1Threev1d_POST - 837
24771
329k
    {14200, 5384, 4, 7 },
24772
    // AArch64_ST1Threev2d_POST - 838
24773
329k
    {14220, 5391, 4, 7 },
24774
    // AArch64_ST1Threev2s_POST - 839
24775
329k
    {14240, 5398, 4, 7 },
24776
    // AArch64_ST1Threev4h_POST - 840
24777
329k
    {14260, 5405, 4, 7 },
24778
    // AArch64_ST1Threev4s_POST - 841
24779
329k
    {14280, 5412, 4, 7 },
24780
    // AArch64_ST1Threev8b_POST - 842
24781
329k
    {14300, 5419, 4, 7 },
24782
    // AArch64_ST1Threev8h_POST - 843
24783
329k
    {14320, 5426, 4, 7 },
24784
    // AArch64_ST1Twov16b_POST - 844
24785
329k
    {14340, 5433, 4, 7 },
24786
    // AArch64_ST1Twov1d_POST - 845
24787
329k
    {14360, 5440, 4, 7 },
24788
    // AArch64_ST1Twov2d_POST - 846
24789
329k
    {14380, 5447, 4, 7 },
24790
    // AArch64_ST1Twov2s_POST - 847
24791
329k
    {14400, 5454, 4, 7 },
24792
    // AArch64_ST1Twov4h_POST - 848
24793
329k
    {14420, 5461, 4, 7 },
24794
    // AArch64_ST1Twov4s_POST - 849
24795
329k
    {14440, 5468, 4, 7 },
24796
    // AArch64_ST1Twov8b_POST - 850
24797
329k
    {14460, 5475, 4, 7 },
24798
    // AArch64_ST1Twov8h_POST - 851
24799
329k
    {14480, 5482, 4, 7 },
24800
    // AArch64_ST1W_2Z_IMM - 852
24801
329k
    {14500, 5489, 4, 8 },
24802
    // AArch64_ST1W_2Z_STRIDED_IMM - 853
24803
329k
    {14522, 5497, 4, 7 },
24804
    // AArch64_ST1W_4Z_IMM - 854
24805
329k
    {14500, 5504, 4, 8 },
24806
    // AArch64_ST1W_4Z_STRIDED_IMM - 855
24807
329k
    {14522, 5512, 4, 7 },
24808
    // AArch64_ST1W_D_IMM - 856
24809
329k
    {14544, 5519, 4, 8 },
24810
    // AArch64_ST1W_IMM - 857
24811
329k
    {14566, 5527, 4, 8 },
24812
    // AArch64_ST1W_Q_IMM - 858
24813
329k
    {14588, 5535, 4, 7 },
24814
    // AArch64_ST1_MXIPXX_H_B - 859
24815
329k
    {14610, 5542, 6, 9 },
24816
    // AArch64_ST1_MXIPXX_H_D - 860
24817
329k
    {14644, 5551, 6, 9 },
24818
    // AArch64_ST1_MXIPXX_H_H - 861
24819
329k
    {14678, 5560, 6, 9 },
24820
    // AArch64_ST1_MXIPXX_H_Q - 862
24821
329k
    {14712, 5569, 6, 9 },
24822
    // AArch64_ST1_MXIPXX_H_S - 863
24823
329k
    {14746, 5578, 6, 9 },
24824
    // AArch64_ST1_MXIPXX_V_B - 864
24825
329k
    {14780, 5587, 6, 9 },
24826
    // AArch64_ST1_MXIPXX_V_D - 865
24827
329k
    {14814, 5596, 6, 9 },
24828
    // AArch64_ST1_MXIPXX_V_H - 866
24829
329k
    {14848, 5605, 6, 9 },
24830
    // AArch64_ST1_MXIPXX_V_Q - 867
24831
329k
    {14882, 5614, 6, 9 },
24832
    // AArch64_ST1_MXIPXX_V_S - 868
24833
329k
    {14916, 5623, 6, 9 },
24834
    // AArch64_ST1i16_POST - 869
24835
329k
    {14950, 5632, 5, 8 },
24836
    // AArch64_ST1i32_POST - 870
24837
329k
    {14973, 5640, 5, 8 },
24838
    // AArch64_ST1i64_POST - 871
24839
329k
    {14996, 5648, 5, 8 },
24840
    // AArch64_ST1i8_POST - 872
24841
329k
    {15019, 5656, 5, 8 },
24842
    // AArch64_ST2B_IMM - 873
24843
329k
    {15042, 5664, 4, 8 },
24844
    // AArch64_ST2D_IMM - 874
24845
329k
    {15064, 5672, 4, 8 },
24846
    // AArch64_ST2Gi - 875
24847
329k
    {15086, 5680, 3, 6 },
24848
    // AArch64_ST2H_IMM - 876
24849
329k
    {15100, 5686, 4, 8 },
24850
    // AArch64_ST2Q_IMM - 877
24851
329k
    {15122, 5694, 4, 8 },
24852
    // AArch64_ST2Twov16b_POST - 878
24853
329k
    {15144, 5702, 4, 7 },
24854
    // AArch64_ST2Twov2d_POST - 879
24855
329k
    {15164, 5709, 4, 7 },
24856
    // AArch64_ST2Twov2s_POST - 880
24857
329k
    {15184, 5716, 4, 7 },
24858
    // AArch64_ST2Twov4h_POST - 881
24859
329k
    {15204, 5723, 4, 7 },
24860
    // AArch64_ST2Twov4s_POST - 882
24861
329k
    {15224, 5730, 4, 7 },
24862
    // AArch64_ST2Twov8b_POST - 883
24863
329k
    {15244, 5737, 4, 7 },
24864
    // AArch64_ST2Twov8h_POST - 884
24865
329k
    {15264, 5744, 4, 7 },
24866
    // AArch64_ST2W_IMM - 885
24867
329k
    {15284, 5751, 4, 8 },
24868
    // AArch64_ST2i16_POST - 886
24869
329k
    {15306, 5759, 5, 8 },
24870
    // AArch64_ST2i32_POST - 887
24871
329k
    {15329, 5767, 5, 8 },
24872
    // AArch64_ST2i64_POST - 888
24873
329k
    {15352, 5775, 5, 8 },
24874
    // AArch64_ST2i8_POST - 889
24875
329k
    {15376, 5783, 5, 8 },
24876
    // AArch64_ST3B_IMM - 890
24877
329k
    {15399, 5791, 4, 8 },
24878
    // AArch64_ST3D_IMM - 891
24879
329k
    {15421, 5799, 4, 8 },
24880
    // AArch64_ST3H_IMM - 892
24881
329k
    {15443, 5807, 4, 8 },
24882
    // AArch64_ST3Q_IMM - 893
24883
329k
    {15465, 5815, 4, 8 },
24884
    // AArch64_ST3Threev16b_POST - 894
24885
329k
    {15487, 5823, 4, 7 },
24886
    // AArch64_ST3Threev2d_POST - 895
24887
329k
    {15507, 5830, 4, 7 },
24888
    // AArch64_ST3Threev2s_POST - 896
24889
329k
    {15527, 5837, 4, 7 },
24890
    // AArch64_ST3Threev4h_POST - 897
24891
329k
    {15547, 5844, 4, 7 },
24892
    // AArch64_ST3Threev4s_POST - 898
24893
329k
    {15567, 5851, 4, 7 },
24894
    // AArch64_ST3Threev8b_POST - 899
24895
329k
    {15587, 5858, 4, 7 },
24896
    // AArch64_ST3Threev8h_POST - 900
24897
329k
    {15607, 5865, 4, 7 },
24898
    // AArch64_ST3W_IMM - 901
24899
329k
    {15627, 5872, 4, 8 },
24900
    // AArch64_ST3i16_POST - 902
24901
329k
    {15649, 5880, 5, 8 },
24902
    // AArch64_ST3i32_POST - 903
24903
329k
    {15672, 5888, 5, 8 },
24904
    // AArch64_ST3i64_POST - 904
24905
329k
    {15696, 5896, 5, 8 },
24906
    // AArch64_ST3i8_POST - 905
24907
329k
    {15720, 5904, 5, 8 },
24908
    // AArch64_ST4B_IMM - 906
24909
329k
    {15743, 5912, 4, 8 },
24910
    // AArch64_ST4D_IMM - 907
24911
329k
    {15765, 5920, 4, 8 },
24912
    // AArch64_ST4Fourv16b_POST - 908
24913
329k
    {15787, 5928, 4, 7 },
24914
    // AArch64_ST4Fourv2d_POST - 909
24915
329k
    {15807, 5935, 4, 7 },
24916
    // AArch64_ST4Fourv2s_POST - 910
24917
329k
    {15827, 5942, 4, 7 },
24918
    // AArch64_ST4Fourv4h_POST - 911
24919
329k
    {15847, 5949, 4, 7 },
24920
    // AArch64_ST4Fourv4s_POST - 912
24921
329k
    {15867, 5956, 4, 7 },
24922
    // AArch64_ST4Fourv8b_POST - 913
24923
329k
    {15887, 5963, 4, 7 },
24924
    // AArch64_ST4Fourv8h_POST - 914
24925
329k
    {15907, 5970, 4, 7 },
24926
    // AArch64_ST4H_IMM - 915
24927
329k
    {15927, 5977, 4, 8 },
24928
    // AArch64_ST4Q_IMM - 916
24929
329k
    {15949, 5985, 4, 8 },
24930
    // AArch64_ST4W_IMM - 917
24931
329k
    {15971, 5993, 4, 8 },
24932
    // AArch64_ST4i16_POST - 918
24933
329k
    {15993, 6001, 5, 8 },
24934
    // AArch64_ST4i32_POST - 919
24935
329k
    {16016, 6009, 5, 8 },
24936
    // AArch64_ST4i64_POST - 920
24937
329k
    {16040, 6017, 5, 8 },
24938
    // AArch64_ST4i8_POST - 921
24939
329k
    {16064, 6025, 5, 8 },
24940
    // AArch64_STGPi - 922
24941
329k
    {16087, 6033, 4, 7 },
24942
    // AArch64_STGi - 923
24943
329k
    {16105, 6040, 3, 6 },
24944
    // AArch64_STLURBi - 924
24945
329k
    {16118, 6046, 3, 6 },
24946
    // AArch64_STLURHi - 925
24947
329k
    {16134, 6052, 3, 6 },
24948
    // AArch64_STLURWi - 926
24949
329k
    {16150, 6058, 3, 6 },
24950
    // AArch64_STLURXi - 927
24951
329k
    {16150, 6064, 3, 6 },
24952
    // AArch64_STLURbi - 928
24953
329k
    {16150, 6070, 3, 9 },
24954
    // AArch64_STLURdi - 929
24955
329k
    {16150, 6079, 3, 9 },
24956
    // AArch64_STLURhi - 930
24957
329k
    {16150, 6088, 3, 9 },
24958
    // AArch64_STLURqi - 931
24959
329k
    {16150, 6097, 3, 9 },
24960
    // AArch64_STLURsi - 932
24961
329k
    {16150, 6106, 3, 9 },
24962
    // AArch64_STNPDi - 933
24963
329k
    {16165, 6115, 4, 7 },
24964
    // AArch64_STNPQi - 934
24965
329k
    {16165, 6122, 4, 7 },
24966
    // AArch64_STNPSi - 935
24967
329k
    {16165, 6129, 4, 7 },
24968
    // AArch64_STNPWi - 936
24969
329k
    {16165, 6136, 4, 4 },
24970
    // AArch64_STNPXi - 937
24971
329k
    {16165, 6140, 4, 4 },
24972
    // AArch64_STNT1B_2Z_IMM - 938
24973
329k
    {16183, 6144, 4, 8 },
24974
    // AArch64_STNT1B_2Z_STRIDED_IMM - 939
24975
329k
    {16207, 6152, 4, 7 },
24976
    // AArch64_STNT1B_4Z_IMM - 940
24977
329k
    {16183, 6159, 4, 8 },
24978
    // AArch64_STNT1B_4Z_STRIDED_IMM - 941
24979
329k
    {16231, 6167, 4, 7 },
24980
    // AArch64_STNT1B_ZRI - 942
24981
329k
    {16255, 6174, 4, 8 },
24982
    // AArch64_STNT1B_ZZR_D_REAL - 943
24983
329k
    {16279, 6182, 4, 7 },
24984
    // AArch64_STNT1B_ZZR_S_REAL - 944
24985
329k
    {16305, 6189, 4, 7 },
24986
    // AArch64_STNT1D_2Z_IMM - 945
24987
329k
    {16331, 6196, 4, 8 },
24988
    // AArch64_STNT1D_2Z_STRIDED_IMM - 946
24989
329k
    {16355, 6204, 4, 7 },
24990
    // AArch64_STNT1D_4Z_IMM - 947
24991
329k
    {16331, 6211, 4, 8 },
24992
    // AArch64_STNT1D_4Z_STRIDED_IMM - 948
24993
329k
    {16355, 6219, 4, 7 },
24994
    // AArch64_STNT1D_ZRI - 949
24995
329k
    {16379, 6226, 4, 8 },
24996
    // AArch64_STNT1D_ZZR_D_REAL - 950
24997
329k
    {16403, 6234, 4, 7 },
24998
    // AArch64_STNT1H_2Z_IMM - 951
24999
329k
    {16429, 6241, 4, 8 },
25000
    // AArch64_STNT1H_2Z_STRIDED_IMM - 952
25001
329k
    {16453, 6249, 4, 7 },
25002
    // AArch64_STNT1H_4Z_IMM - 953
25003
329k
    {16429, 6256, 4, 8 },
25004
    // AArch64_STNT1H_4Z_STRIDED_IMM - 954
25005
329k
    {16477, 6264, 4, 7 },
25006
    // AArch64_STNT1H_ZRI - 955
25007
329k
    {16501, 6271, 4, 8 },
25008
    // AArch64_STNT1H_ZZR_D_REAL - 956
25009
329k
    {16525, 6279, 4, 7 },
25010
    // AArch64_STNT1H_ZZR_S_REAL - 957
25011
329k
    {16551, 6286, 4, 7 },
25012
    // AArch64_STNT1W_2Z_IMM - 958
25013
329k
    {16577, 6293, 4, 8 },
25014
    // AArch64_STNT1W_2Z_STRIDED_IMM - 959
25015
329k
    {16601, 6301, 4, 7 },
25016
    // AArch64_STNT1W_4Z_IMM - 960
25017
329k
    {16577, 6308, 4, 8 },
25018
    // AArch64_STNT1W_4Z_STRIDED_IMM - 961
25019
329k
    {16601, 6316, 4, 7 },
25020
    // AArch64_STNT1W_ZRI - 962
25021
329k
    {16625, 6323, 4, 8 },
25022
    // AArch64_STNT1W_ZZR_D_REAL - 963
25023
329k
    {16649, 6331, 4, 7 },
25024
    // AArch64_STNT1W_ZZR_S_REAL - 964
25025
329k
    {16675, 6338, 4, 7 },
25026
    // AArch64_STPDi - 965
25027
329k
    {16701, 6345, 4, 7 },
25028
    // AArch64_STPQi - 966
25029
329k
    {16701, 6352, 4, 7 },
25030
    // AArch64_STPSi - 967
25031
329k
    {16701, 6359, 4, 7 },
25032
    // AArch64_STPWi - 968
25033
329k
    {16701, 6366, 4, 4 },
25034
    // AArch64_STPXi - 969
25035
329k
    {16701, 6370, 4, 4 },
25036
    // AArch64_STRBBroX - 970
25037
329k
    {16718, 6374, 5, 5 },
25038
    // AArch64_STRBBui - 971
25039
329k
    {16736, 6379, 3, 3 },
25040
    // AArch64_STRBroX - 972
25041
329k
    {16750, 6382, 5, 8 },
25042
    // AArch64_STRBui - 973
25043
329k
    {16767, 6390, 3, 6 },
25044
    // AArch64_STRDroX - 974
25045
329k
    {16750, 6396, 5, 8 },
25046
    // AArch64_STRDui - 975
25047
329k
    {16767, 6404, 3, 6 },
25048
    // AArch64_STRHHroX - 976
25049
329k
    {16780, 6410, 5, 5 },
25050
    // AArch64_STRHHui - 977
25051
329k
    {16798, 6415, 3, 3 },
25052
    // AArch64_STRHroX - 978
25053
329k
    {16750, 6418, 5, 8 },
25054
    // AArch64_STRHui - 979
25055
329k
    {16767, 6426, 3, 6 },
25056
    // AArch64_STRQroX - 980
25057
329k
    {16750, 6432, 5, 8 },
25058
    // AArch64_STRQui - 981
25059
329k
    {16767, 6440, 3, 6 },
25060
    // AArch64_STRSroX - 982
25061
329k
    {16750, 6446, 5, 8 },
25062
    // AArch64_STRSui - 983
25063
329k
    {16767, 6454, 3, 6 },
25064
    // AArch64_STRWroX - 984
25065
329k
    {16750, 6460, 5, 5 },
25066
    // AArch64_STRWui - 985
25067
329k
    {16767, 6465, 3, 3 },
25068
    // AArch64_STRXroX - 986
25069
329k
    {16750, 6468, 5, 5 },
25070
    // AArch64_STRXui - 987
25071
329k
    {16767, 6473, 3, 3 },
25072
    // AArch64_STR_PXI - 988
25073
329k
    {16812, 6476, 3, 7 },
25074
    // AArch64_STR_ZA - 989
25075
329k
    {16827, 6483, 5, 8 },
25076
    // AArch64_STR_ZXI - 990
25077
329k
    {16812, 6491, 3, 7 },
25078
    // AArch64_STTRBi - 991
25079
329k
    {16852, 6498, 3, 3 },
25080
    // AArch64_STTRHi - 992
25081
329k
    {16867, 6501, 3, 3 },
25082
    // AArch64_STTRWi - 993
25083
329k
    {16882, 6504, 3, 3 },
25084
    // AArch64_STTRXi - 994
25085
329k
    {16882, 6507, 3, 3 },
25086
    // AArch64_STURBBi - 995
25087
329k
    {16896, 6510, 3, 3 },
25088
    // AArch64_STURBi - 996
25089
329k
    {16911, 6513, 3, 6 },
25090
    // AArch64_STURDi - 997
25091
329k
    {16911, 6519, 3, 6 },
25092
    // AArch64_STURHHi - 998
25093
329k
    {16925, 6525, 3, 3 },
25094
    // AArch64_STURHi - 999
25095
329k
    {16911, 6528, 3, 6 },
25096
    // AArch64_STURQi - 1000
25097
329k
    {16911, 6534, 3, 6 },
25098
    // AArch64_STURSi - 1001
25099
329k
    {16911, 6540, 3, 6 },
25100
    // AArch64_STURWi - 1002
25101
329k
    {16911, 6546, 3, 3 },
25102
    // AArch64_STURXi - 1003
25103
329k
    {16911, 6549, 3, 3 },
25104
    // AArch64_STZ2Gi - 1004
25105
329k
    {16940, 6552, 3, 6 },
25106
    // AArch64_STZGi - 1005
25107
329k
    {16955, 6558, 3, 6 },
25108
    // AArch64_SUBPT_shift - 1006
25109
329k
    {16969, 6564, 4, 7 },
25110
    // AArch64_SUBSWri - 1007
25111
329k
    {16986, 6571, 4, 2 },
25112
    // AArch64_SUBSWrs - 1008
25113
329k
    {16999, 6573, 4, 4 },
25114
329k
    {17010, 6577, 4, 3 },
25115
329k
    {17025, 6580, 4, 4 },
25116
329k
    {17037, 6584, 4, 3 },
25117
329k
    {17053, 6587, 4, 4 },
25118
    // AArch64_SUBSWrx - 1013
25119
329k
    {16999, 6591, 4, 4 },
25120
329k
    {17069, 6595, 4, 3 },
25121
329k
    {17053, 6598, 4, 4 },
25122
    // AArch64_SUBSXri - 1016
25123
329k
    {16986, 6602, 4, 2 },
25124
    // AArch64_SUBSXrs - 1017
25125
329k
    {16999, 6604, 4, 4 },
25126
329k
    {17010, 6608, 4, 3 },
25127
329k
    {17025, 6611, 4, 4 },
25128
329k
    {17037, 6615, 4, 3 },
25129
329k
    {17053, 6618, 4, 4 },
25130
    // AArch64_SUBSXrx - 1022
25131
329k
    {17069, 6622, 4, 3 },
25132
    // AArch64_SUBSXrx64 - 1023
25133
329k
    {16999, 6625, 4, 4 },
25134
329k
    {17069, 6629, 4, 3 },
25135
329k
    {17053, 6632, 4, 4 },
25136
    // AArch64_SUBWrs - 1026
25137
329k
    {17084, 6636, 4, 4 },
25138
329k
    {17095, 6640, 4, 3 },
25139
329k
    {17110, 6643, 4, 4 },
25140
    // AArch64_SUBWrx - 1029
25141
329k
    {17110, 6647, 4, 4 },
25142
329k
    {17110, 6651, 4, 4 },
25143
    // AArch64_SUBXrs - 1031
25144
329k
    {17084, 6655, 4, 4 },
25145
329k
    {17095, 6659, 4, 3 },
25146
329k
    {17110, 6662, 4, 4 },
25147
    // AArch64_SUBXrx64 - 1034
25148
329k
    {17110, 6666, 4, 4 },
25149
329k
    {17110, 6670, 4, 4 },
25150
    // AArch64_SYSPxt_XZR - 1036
25151
329k
    {17125, 6674, 5, 8 },
25152
    // AArch64_SYSxt - 1037
25153
329k
    {17149, 6682, 5, 5 },
25154
    // AArch64_UBFMWri - 1038
25155
329k
    {17172, 6687, 4, 4 },
25156
329k
    {17187, 6691, 4, 4 },
25157
329k
    {17199, 6695, 4, 4 },
25158
    // AArch64_UBFMXri - 1041
25159
329k
    {17172, 6699, 4, 4 },
25160
329k
    {17187, 6703, 4, 4 },
25161
329k
    {17199, 6707, 4, 4 },
25162
329k
    {17211, 6711, 4, 4 },
25163
    // AArch64_UMADDLrrr - 1045
25164
329k
    {17223, 6715, 4, 4 },
25165
    // AArch64_UMOVvi32 - 1046
25166
329k
    {17240, 6719, 3, 5 },
25167
    // AArch64_UMOVvi32_idx0 - 1047
25168
329k
    {17240, 6724, 3, 6 },
25169
    // AArch64_UMOVvi64 - 1048
25170
329k
    {17259, 6730, 3, 5 },
25171
    // AArch64_UMOVvi64_idx0 - 1049
25172
329k
    {17259, 6735, 3, 6 },
25173
    // AArch64_UMSUBLrrr - 1050
25174
329k
    {17278, 6741, 4, 4 },
25175
    // AArch64_UQDECB_WPiI - 1051
25176
329k
    {17296, 6745, 4, 8 },
25177
329k
    {17306, 6753, 4, 8 },
25178
    // AArch64_UQDECB_XPiI - 1053
25179
329k
    {17296, 6761, 4, 8 },
25180
329k
    {17306, 6769, 4, 8 },
25181
    // AArch64_UQDECD_WPiI - 1055
25182
329k
    {17322, 6777, 4, 8 },
25183
329k
    {17332, 6785, 4, 8 },
25184
    // AArch64_UQDECD_XPiI - 1057
25185
329k
    {17322, 6793, 4, 8 },
25186
329k
    {17332, 6801, 4, 8 },
25187
    // AArch64_UQDECD_ZPiI - 1059
25188
329k
    {17348, 6809, 4, 8 },
25189
329k
    {17360, 6817, 4, 8 },
25190
    // AArch64_UQDECH_WPiI - 1061
25191
329k
    {17378, 6825, 4, 8 },
25192
329k
    {17388, 6833, 4, 8 },
25193
    // AArch64_UQDECH_XPiI - 1063
25194
329k
    {17378, 6841, 4, 8 },
25195
329k
    {17388, 6849, 4, 8 },
25196
    // AArch64_UQDECH_ZPiI - 1065
25197
329k
    {17404, 6857, 4, 8 },
25198
329k
    {17416, 6865, 4, 8 },
25199
    // AArch64_UQDECW_WPiI - 1067
25200
329k
    {17434, 6873, 4, 8 },
25201
329k
    {17444, 6881, 4, 8 },
25202
    // AArch64_UQDECW_XPiI - 1069
25203
329k
    {17434, 6889, 4, 8 },
25204
329k
    {17444, 6897, 4, 8 },
25205
    // AArch64_UQDECW_ZPiI - 1071
25206
329k
    {17460, 6905, 4, 8 },
25207
329k
    {17472, 6913, 4, 8 },
25208
    // AArch64_UQINCB_WPiI - 1073
25209
329k
    {17490, 6921, 4, 8 },
25210
329k
    {17500, 6929, 4, 8 },
25211
    // AArch64_UQINCB_XPiI - 1075
25212
329k
    {17490, 6937, 4, 8 },
25213
329k
    {17500, 6945, 4, 8 },
25214
    // AArch64_UQINCD_WPiI - 1077
25215
329k
    {17516, 6953, 4, 8 },
25216
329k
    {17526, 6961, 4, 8 },
25217
    // AArch64_UQINCD_XPiI - 1079
25218
329k
    {17516, 6969, 4, 8 },
25219
329k
    {17526, 6977, 4, 8 },
25220
    // AArch64_UQINCD_ZPiI - 1081
25221
329k
    {17542, 6985, 4, 8 },
25222
329k
    {17554, 6993, 4, 8 },
25223
    // AArch64_UQINCH_WPiI - 1083
25224
329k
    {17572, 7001, 4, 8 },
25225
329k
    {17582, 7009, 4, 8 },
25226
    // AArch64_UQINCH_XPiI - 1085
25227
329k
    {17572, 7017, 4, 8 },
25228
329k
    {17582, 7025, 4, 8 },
25229
    // AArch64_UQINCH_ZPiI - 1087
25230
329k
    {17598, 7033, 4, 8 },
25231
329k
    {17610, 7041, 4, 8 },
25232
    // AArch64_UQINCW_WPiI - 1089
25233
329k
    {17628, 7049, 4, 8 },
25234
329k
    {17638, 7057, 4, 8 },
25235
    // AArch64_UQINCW_XPiI - 1091
25236
329k
    {17628, 7065, 4, 8 },
25237
329k
    {17638, 7073, 4, 8 },
25238
    // AArch64_UQINCW_ZPiI - 1093
25239
329k
    {17654, 7081, 4, 8 },
25240
329k
    {17666, 7089, 4, 8 },
25241
    // AArch64_XPACLRI - 1095
25242
329k
    {17684, 7097, 0, 3 },
25243
    // AArch64_ZERO_M - 1096
25244
329k
    {17692, 7100, 1, 4 },
25245
329k
    {17702, 7104, 1, 4 },
25246
329k
    {17715, 7108, 1, 4 },
25247
329k
    {17728, 7112, 1, 4 },
25248
329k
    {17741, 7116, 1, 4 },
25249
329k
    {17754, 7120, 1, 4 },
25250
329k
    {17767, 7124, 1, 4 },
25251
329k
    {17780, 7128, 1, 4 },
25252
329k
    {17799, 7132, 1, 4 },
25253
329k
    {17818, 7136, 1, 4 },
25254
329k
    {17837, 7140, 1, 4 },
25255
329k
    {17856, 7144, 1, 4 },
25256
329k
    {17881, 7148, 1, 4 },
25257
329k
    {17906, 7152, 1, 4 },
25258
329k
    {17931, 7156, 1, 4 },
25259
329k
  {0},  };
25260
25261
329k
  static const AliasPatternCond Conds[] = {
25262
    // (ADDPT_shift GPR64sp:$Rd, GPR64sp:$Rn, GPR64:$Rm, 0) - 0
25263
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
25264
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
25265
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25266
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25267
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25268
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureCPA},
25269
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25270
    // (ADDSWri WZR, GPR32sp:$src, addsub_shifted_imm32:$imm) - 7
25271
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
25272
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32spRegClassID},
25273
    // (ADDSWrs WZR, GPR32:$src1, GPR32:$src2, 0) - 9
25274
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
25275
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25276
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25277
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25278
    // (ADDSWrs WZR, GPR32:$src1, GPR32:$src2, arith_shift32:$sh) - 13
25279
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
25280
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25281
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25282
    // (ADDSWrs GPR32:$dst, GPR32:$src1, GPR32:$src2, 0) - 16
25283
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25284
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25285
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25286
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25287
    // (ADDSWrx WZR, GPR32sponly:$src1, GPR32:$src2, 16) - 20
25288
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
25289
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32sponlyRegClassID},
25290
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25291
329k
    {AliasPatternCond_K_Imm, (uint32_t)16},
25292
    // (ADDSWrx WZR, GPR32sp:$src1, GPR32:$src2, arith_extend:$sh) - 24
25293
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
25294
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32spRegClassID},
25295
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25296
    // (ADDSWrx GPR32:$dst, GPR32sponly:$src1, GPR32:$src2, 16) - 27
25297
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25298
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32sponlyRegClassID},
25299
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25300
329k
    {AliasPatternCond_K_Imm, (uint32_t)16},
25301
    // (ADDSXri XZR, GPR64sp:$src, addsub_shifted_imm64:$imm) - 31
25302
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
25303
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
25304
    // (ADDSXrs XZR, GPR64:$src1, GPR64:$src2, 0) - 33
25305
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
25306
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25307
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25308
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25309
    // (ADDSXrs XZR, GPR64:$src1, GPR64:$src2, arith_shift64:$sh) - 37
25310
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
25311
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25312
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25313
    // (ADDSXrs GPR64:$dst, GPR64:$src1, GPR64:$src2, 0) - 40
25314
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25315
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25316
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25317
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25318
    // (ADDSXrx XZR, GPR64sp:$src1, GPR32:$src2, arith_extend:$sh) - 44
25319
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
25320
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
25321
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25322
    // (ADDSXrx64 XZR, GPR64sponly:$src1, GPR64:$src2, 24) - 47
25323
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
25324
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64sponlyRegClassID},
25325
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25326
329k
    {AliasPatternCond_K_Imm, (uint32_t)24},
25327
    // (ADDSXrx64 XZR, GPR64sp:$src1, GPR64:$src2, arith_extendlsl64:$sh) - 51
25328
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
25329
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
25330
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25331
    // (ADDSXrx64 GPR64:$dst, GPR64sponly:$src1, GPR64:$src2, 24) - 54
25332
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25333
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64sponlyRegClassID},
25334
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25335
329k
    {AliasPatternCond_K_Imm, (uint32_t)24},
25336
    // (ADDWri GPR32sponly:$dst, GPR32sp:$src, 0, 0) - 58
25337
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32sponlyRegClassID},
25338
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32spRegClassID},
25339
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25340
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25341
    // (ADDWri GPR32sp:$dst, GPR32sponly:$src, 0, 0) - 62
25342
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32spRegClassID},
25343
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32sponlyRegClassID},
25344
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25345
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25346
    // (ADDWrs GPR32:$dst, GPR32:$src1, GPR32:$src2, 0) - 66
25347
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25348
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25349
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25350
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25351
    // (ADDWrx GPR32sponly:$dst, GPR32sp:$src1, GPR32:$src2, 16) - 70
25352
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32sponlyRegClassID},
25353
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32spRegClassID},
25354
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25355
329k
    {AliasPatternCond_K_Imm, (uint32_t)16},
25356
    // (ADDWrx GPR32sp:$dst, GPR32sponly:$src1, GPR32:$src2, 16) - 74
25357
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32spRegClassID},
25358
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32sponlyRegClassID},
25359
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25360
329k
    {AliasPatternCond_K_Imm, (uint32_t)16},
25361
    // (ADDXri GPR64sponly:$dst, GPR64sp:$src, 0, 0) - 78
25362
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64sponlyRegClassID},
25363
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
25364
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25365
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25366
    // (ADDXri GPR64sp:$dst, GPR64sponly:$src, 0, 0) - 82
25367
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
25368
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64sponlyRegClassID},
25369
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25370
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25371
    // (ADDXrs GPR64:$dst, GPR64:$src1, GPR64:$src2, 0) - 86
25372
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25373
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25374
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25375
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25376
    // (ADDXrx64 GPR64sponly:$dst, GPR64sp:$src1, GPR64:$src2, 24) - 90
25377
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64sponlyRegClassID},
25378
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
25379
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25380
329k
    {AliasPatternCond_K_Imm, (uint32_t)24},
25381
    // (ADDXrx64 GPR64sp:$dst, GPR64sponly:$src1, GPR64:$src2, 24) - 94
25382
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
25383
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64sponlyRegClassID},
25384
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25385
329k
    {AliasPatternCond_K_Imm, (uint32_t)24},
25386
    // (ANDSWri WZR, GPR32:$src1, logical_imm32:$src2) - 98
25387
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
25388
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25389
    // (ANDSWrs WZR, GPR32:$src1, GPR32:$src2, 0) - 100
25390
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
25391
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25392
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25393
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25394
    // (ANDSWrs WZR, GPR32:$src1, GPR32:$src2, logical_shift32:$sh) - 104
25395
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
25396
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25397
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25398
    // (ANDSWrs GPR32:$dst, GPR32:$src1, GPR32:$src2, 0) - 107
25399
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25400
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25401
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25402
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25403
    // (ANDSXri XZR, GPR64:$src1, logical_imm64:$src2) - 111
25404
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
25405
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25406
    // (ANDSXrs XZR, GPR64:$src1, GPR64:$src2, 0) - 113
25407
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
25408
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25409
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25410
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25411
    // (ANDSXrs XZR, GPR64:$src1, GPR64:$src2, logical_shift64:$sh) - 117
25412
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
25413
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25414
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25415
    // (ANDSXrs GPR64:$dst, GPR64:$src1, GPR64:$src2, 0) - 120
25416
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25417
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25418
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25419
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25420
    // (ANDS_PPzPP PPR8:$Pd, PPRAny:$Pg, PPR8:$Pn, PPR8:$Pn) - 124
25421
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
25422
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
25423
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
25424
329k
    {AliasPatternCond_K_TiedReg, 2},
25425
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25426
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25427
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25428
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25429
    // (ANDWrs GPR32:$dst, GPR32:$src1, GPR32:$src2, 0) - 132
25430
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25431
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25432
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25433
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25434
    // (ANDXrs GPR64:$dst, GPR64:$src1, GPR64:$src2, 0) - 136
25435
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25436
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25437
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25438
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25439
    // (AND_PPzPP PPR8:$Pd, PPRAny:$Pg, PPR8:$Pn, PPR8:$Pn) - 140
25440
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
25441
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
25442
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
25443
329k
    {AliasPatternCond_K_TiedReg, 2},
25444
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25445
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25446
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25447
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25448
    // (AND_ZI ZPR8:$Zdn, sve_logical_imm8:$imm) - 148
25449
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25450
329k
    {AliasPatternCond_K_Ignore, 0},
25451
329k
    {AliasPatternCond_K_Custom, 1},
25452
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25453
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25454
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25455
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25456
    // (AND_ZI ZPR16:$Zdn, sve_logical_imm16:$imm) - 155
25457
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25458
329k
    {AliasPatternCond_K_Ignore, 0},
25459
329k
    {AliasPatternCond_K_Custom, 2},
25460
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25461
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25462
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25463
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25464
    // (AND_ZI ZPR32:$Zdn, sve_logical_imm32:$imm) - 162
25465
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25466
329k
    {AliasPatternCond_K_Ignore, 0},
25467
329k
    {AliasPatternCond_K_Custom, 3},
25468
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25469
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25470
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25471
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25472
    // (AUTIA1716) - 169
25473
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25474
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeaturePAuth},
25475
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25476
    // (AUTIASP) - 172
25477
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25478
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeaturePAuth},
25479
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25480
    // (AUTIAZ) - 175
25481
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25482
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeaturePAuth},
25483
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25484
    // (AUTIB1716) - 178
25485
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25486
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeaturePAuth},
25487
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25488
    // (AUTIBSP) - 181
25489
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25490
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeaturePAuth},
25491
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25492
    // (AUTIBZ) - 184
25493
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25494
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeaturePAuth},
25495
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25496
    // (BICSWrs GPR32:$dst, GPR32:$src1, GPR32:$src2, 0) - 187
25497
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25498
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25499
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25500
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25501
    // (BICSXrs GPR64:$dst, GPR64:$src1, GPR64:$src2, 0) - 191
25502
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25503
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25504
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25505
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25506
    // (BICWrs GPR32:$dst, GPR32:$src1, GPR32:$src2, 0) - 195
25507
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25508
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25509
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25510
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25511
    // (BICXrs GPR64:$dst, GPR64:$src1, GPR64:$src2, 0) - 199
25512
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25513
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25514
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25515
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25516
    // (CHKFEAT) - 203
25517
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25518
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureCHK},
25519
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25520
    // (CLREX 15) - 206
25521
329k
    {AliasPatternCond_K_Imm, (uint32_t)15},
25522
    // (CNTB_XPiI GPR64:$Rd, { 1, 1, 1, 1, 1 }, 1) - 207
25523
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25524
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
25525
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
25526
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25527
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25528
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25529
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25530
    // (CNTB_XPiI GPR64:$Rd, sve_pred_enum:$pattern, 1) - 214
25531
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25532
329k
    {AliasPatternCond_K_Ignore, 0},
25533
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
25534
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25535
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25536
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25537
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25538
    // (CNTD_XPiI GPR64:$Rd, { 1, 1, 1, 1, 1 }, 1) - 221
25539
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25540
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
25541
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
25542
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25543
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25544
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25545
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25546
    // (CNTD_XPiI GPR64:$Rd, sve_pred_enum:$pattern, 1) - 228
25547
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25548
329k
    {AliasPatternCond_K_Ignore, 0},
25549
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
25550
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25551
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25552
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25553
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25554
    // (CNTH_XPiI GPR64:$Rd, { 1, 1, 1, 1, 1 }, 1) - 235
25555
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25556
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
25557
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
25558
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25559
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25560
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25561
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25562
    // (CNTH_XPiI GPR64:$Rd, sve_pred_enum:$pattern, 1) - 242
25563
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25564
329k
    {AliasPatternCond_K_Ignore, 0},
25565
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
25566
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25567
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25568
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25569
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25570
    // (CNTW_XPiI GPR64:$Rd, { 1, 1, 1, 1, 1 }, 1) - 249
25571
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25572
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
25573
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
25574
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25575
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25576
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25577
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25578
    // (CNTW_XPiI GPR64:$Rd, sve_pred_enum:$pattern, 1) - 256
25579
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25580
329k
    {AliasPatternCond_K_Ignore, 0},
25581
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
25582
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25583
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25584
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25585
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25586
    // (CPY_ZPmI_B ZPR8:$Zd, PPRAny:$Pg, cpy_imm8_opt_lsl_i8:$imm) - 263
25587
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25588
329k
    {AliasPatternCond_K_Ignore, 0},
25589
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
25590
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25591
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25592
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25593
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25594
    // (CPY_ZPmI_D ZPR64:$Zd, PPRAny:$Pg, cpy_imm8_opt_lsl_i64:$imm) - 270
25595
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25596
329k
    {AliasPatternCond_K_Ignore, 0},
25597
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
25598
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25599
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25600
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25601
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25602
    // (CPY_ZPmI_H ZPR16:$Zd, PPRAny:$Pg, cpy_imm8_opt_lsl_i16:$imm) - 277
25603
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25604
329k
    {AliasPatternCond_K_Ignore, 0},
25605
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
25606
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25607
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25608
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25609
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25610
    // (CPY_ZPmI_S ZPR32:$Zd, PPRAny:$Pg, cpy_imm8_opt_lsl_i32:$imm) - 284
25611
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25612
329k
    {AliasPatternCond_K_Ignore, 0},
25613
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
25614
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25615
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25616
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25617
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25618
    // (CPY_ZPmR_B ZPR8:$Zd, PPR3bAny:$Pg, GPR32sp:$Rn) - 291
25619
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25620
329k
    {AliasPatternCond_K_Ignore, 0},
25621
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
25622
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32spRegClassID},
25623
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25624
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25625
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25626
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25627
    // (CPY_ZPmR_D ZPR64:$Zd, PPR3bAny:$Pg, GPR64sp:$Rn) - 299
25628
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25629
329k
    {AliasPatternCond_K_Ignore, 0},
25630
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
25631
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
25632
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25633
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25634
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25635
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25636
    // (CPY_ZPmR_H ZPR16:$Zd, PPR3bAny:$Pg, GPR32sp:$Rn) - 307
25637
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25638
329k
    {AliasPatternCond_K_Ignore, 0},
25639
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
25640
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32spRegClassID},
25641
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25642
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25643
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25644
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25645
    // (CPY_ZPmR_S ZPR32:$Zd, PPR3bAny:$Pg, GPR32sp:$Rn) - 315
25646
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25647
329k
    {AliasPatternCond_K_Ignore, 0},
25648
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
25649
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32spRegClassID},
25650
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25651
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25652
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25653
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25654
    // (CPY_ZPmV_B ZPR8:$Zd, PPR3bAny:$Pg, FPR8:$Vn) - 323
25655
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25656
329k
    {AliasPatternCond_K_Ignore, 0},
25657
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
25658
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR8RegClassID},
25659
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25660
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25661
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25662
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25663
    // (CPY_ZPmV_D ZPR64:$Zd, PPR3bAny:$Pg, FPR64:$Vn) - 331
25664
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25665
329k
    {AliasPatternCond_K_Ignore, 0},
25666
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
25667
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
25668
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25669
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25670
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25671
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25672
    // (CPY_ZPmV_H ZPR16:$Zd, PPR3bAny:$Pg, FPR16:$Vn) - 339
25673
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25674
329k
    {AliasPatternCond_K_Ignore, 0},
25675
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
25676
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR16RegClassID},
25677
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25678
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25679
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25680
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25681
    // (CPY_ZPmV_S ZPR32:$Zd, PPR3bAny:$Pg, FPR32:$Vn) - 347
25682
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25683
329k
    {AliasPatternCond_K_Ignore, 0},
25684
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
25685
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR32RegClassID},
25686
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25687
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25688
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25689
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25690
    // (CPY_ZPzI_B ZPR8:$Zd, PPRAny:$Pg, cpy_imm8_opt_lsl_i8:$imm) - 355
25691
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25692
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
25693
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25694
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25695
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25696
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25697
    // (CPY_ZPzI_D ZPR64:$Zd, PPRAny:$Pg, cpy_imm8_opt_lsl_i64:$imm) - 361
25698
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25699
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
25700
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25701
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25702
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25703
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25704
    // (CPY_ZPzI_H ZPR16:$Zd, PPRAny:$Pg, cpy_imm8_opt_lsl_i16:$imm) - 367
25705
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25706
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
25707
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25708
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25709
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25710
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25711
    // (CPY_ZPzI_S ZPR32:$Zd, PPRAny:$Pg, cpy_imm8_opt_lsl_i32:$imm) - 373
25712
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25713
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
25714
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25715
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25716
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25717
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25718
    // (CSINCWr GPR32:$dst, WZR, WZR, inv_ccode:$cc) - 379
25719
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25720
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
25721
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
25722
329k
    {AliasPatternCond_K_Custom, 4},
25723
    // (CSINCWr GPR32:$dst, GPR32:$src, GPR32:$src, inv_ccode:$cc) - 383
25724
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25725
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25726
329k
    {AliasPatternCond_K_TiedReg, 1},
25727
329k
    {AliasPatternCond_K_Custom, 4},
25728
    // (CSINCXr GPR64:$dst, XZR, XZR, inv_ccode:$cc) - 387
25729
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25730
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
25731
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
25732
329k
    {AliasPatternCond_K_Custom, 4},
25733
    // (CSINCXr GPR64:$dst, GPR64:$src, GPR64:$src, inv_ccode:$cc) - 391
25734
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25735
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25736
329k
    {AliasPatternCond_K_TiedReg, 1},
25737
329k
    {AliasPatternCond_K_Custom, 4},
25738
    // (CSINVWr GPR32:$dst, WZR, WZR, inv_ccode:$cc) - 395
25739
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25740
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
25741
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
25742
329k
    {AliasPatternCond_K_Custom, 4},
25743
    // (CSINVWr GPR32:$dst, GPR32:$src, GPR32:$src, inv_ccode:$cc) - 399
25744
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25745
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25746
329k
    {AliasPatternCond_K_TiedReg, 1},
25747
329k
    {AliasPatternCond_K_Custom, 4},
25748
    // (CSINVXr GPR64:$dst, XZR, XZR, inv_ccode:$cc) - 403
25749
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25750
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
25751
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
25752
329k
    {AliasPatternCond_K_Custom, 4},
25753
    // (CSINVXr GPR64:$dst, GPR64:$src, GPR64:$src, inv_ccode:$cc) - 407
25754
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25755
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25756
329k
    {AliasPatternCond_K_TiedReg, 1},
25757
329k
    {AliasPatternCond_K_Custom, 4},
25758
    // (CSNEGWr GPR32:$dst, GPR32:$src, GPR32:$src, inv_ccode:$cc) - 411
25759
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25760
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
25761
329k
    {AliasPatternCond_K_TiedReg, 1},
25762
329k
    {AliasPatternCond_K_Custom, 4},
25763
    // (CSNEGXr GPR64:$dst, GPR64:$src, GPR64:$src, inv_ccode:$cc) - 415
25764
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25765
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25766
329k
    {AliasPatternCond_K_TiedReg, 1},
25767
329k
    {AliasPatternCond_K_Custom, 4},
25768
    // (DCPS1 0) - 419
25769
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25770
    // (DCPS2 0) - 420
25771
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25772
    // (DCPS3 0) - 421
25773
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25774
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25775
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureEL3},
25776
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25777
    // (DECB_XPiI GPR64:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 425
25778
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25779
329k
    {AliasPatternCond_K_Ignore, 0},
25780
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
25781
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
25782
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25783
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25784
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25785
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25786
    // (DECB_XPiI GPR64:$Rdn, sve_pred_enum:$pattern, 1) - 433
25787
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25788
329k
    {AliasPatternCond_K_Ignore, 0},
25789
329k
    {AliasPatternCond_K_Ignore, 0},
25790
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
25791
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25792
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25793
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25794
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25795
    // (DECD_XPiI GPR64:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 441
25796
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25797
329k
    {AliasPatternCond_K_Ignore, 0},
25798
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
25799
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
25800
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25801
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25802
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25803
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25804
    // (DECD_XPiI GPR64:$Rdn, sve_pred_enum:$pattern, 1) - 449
25805
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25806
329k
    {AliasPatternCond_K_Ignore, 0},
25807
329k
    {AliasPatternCond_K_Ignore, 0},
25808
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
25809
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25810
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25811
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25812
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25813
    // (DECD_ZPiI ZPR64:$Zdn, { 1, 1, 1, 1, 1 }, 1) - 457
25814
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25815
329k
    {AliasPatternCond_K_Ignore, 0},
25816
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
25817
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
25818
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25819
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25820
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25821
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25822
    // (DECD_ZPiI ZPR64:$Zdn, sve_pred_enum:$pattern, 1) - 465
25823
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25824
329k
    {AliasPatternCond_K_Ignore, 0},
25825
329k
    {AliasPatternCond_K_Ignore, 0},
25826
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
25827
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25828
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25829
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25830
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25831
    // (DECH_XPiI GPR64:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 473
25832
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25833
329k
    {AliasPatternCond_K_Ignore, 0},
25834
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
25835
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
25836
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25837
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25838
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25839
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25840
    // (DECH_XPiI GPR64:$Rdn, sve_pred_enum:$pattern, 1) - 481
25841
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25842
329k
    {AliasPatternCond_K_Ignore, 0},
25843
329k
    {AliasPatternCond_K_Ignore, 0},
25844
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
25845
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25846
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25847
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25848
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25849
    // (DECH_ZPiI ZPR16:$Zdn, { 1, 1, 1, 1, 1 }, 1) - 489
25850
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25851
329k
    {AliasPatternCond_K_Ignore, 0},
25852
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
25853
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
25854
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25855
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25856
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25857
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25858
    // (DECH_ZPiI ZPR16:$Zdn, sve_pred_enum:$pattern, 1) - 497
25859
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25860
329k
    {AliasPatternCond_K_Ignore, 0},
25861
329k
    {AliasPatternCond_K_Ignore, 0},
25862
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
25863
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25864
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25865
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25866
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25867
    // (DECW_XPiI GPR64:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 505
25868
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25869
329k
    {AliasPatternCond_K_Ignore, 0},
25870
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
25871
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
25872
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25873
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25874
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25875
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25876
    // (DECW_XPiI GPR64:$Rdn, sve_pred_enum:$pattern, 1) - 513
25877
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
25878
329k
    {AliasPatternCond_K_Ignore, 0},
25879
329k
    {AliasPatternCond_K_Ignore, 0},
25880
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
25881
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25882
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25883
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25884
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25885
    // (DECW_ZPiI ZPR32:$Zdn, { 1, 1, 1, 1, 1 }, 1) - 521
25886
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25887
329k
    {AliasPatternCond_K_Ignore, 0},
25888
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
25889
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
25890
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25891
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25892
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25893
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25894
    // (DECW_ZPiI ZPR32:$Zdn, sve_pred_enum:$pattern, 1) - 529
25895
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25896
329k
    {AliasPatternCond_K_Ignore, 0},
25897
329k
    {AliasPatternCond_K_Ignore, 0},
25898
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
25899
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25900
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25901
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25902
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25903
    // (DSB 0) - 537
25904
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25905
    // (DSB 4) - 538
25906
329k
    {AliasPatternCond_K_Imm, (uint32_t)4},
25907
    // (DSB { 1, 1, 0, 0 }) - 539
25908
329k
    {AliasPatternCond_K_Imm, (uint32_t)12},
25909
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25910
329k
    {AliasPatternCond_K_OrFeature, AArch64_HasV8_0rOps},
25911
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25912
    // (DUPM_ZI ZPR16:$Zd, sve_preferred_logical_imm16:$imm) - 543
25913
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25914
329k
    {AliasPatternCond_K_Custom, 5},
25915
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25916
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25917
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25918
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25919
    // (DUPM_ZI ZPR32:$Zd, sve_preferred_logical_imm32:$imm) - 549
25920
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25921
329k
    {AliasPatternCond_K_Custom, 6},
25922
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25923
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25924
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25925
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25926
    // (DUPM_ZI ZPR64:$Zd, sve_preferred_logical_imm64:$imm) - 555
25927
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25928
329k
    {AliasPatternCond_K_Custom, 7},
25929
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25930
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25931
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25932
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25933
    // (DUPM_ZI ZPR8:$Zd, sve_logical_imm8:$imm) - 561
25934
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25935
329k
    {AliasPatternCond_K_Custom, 1},
25936
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25937
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25938
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25939
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25940
    // (DUPM_ZI ZPR16:$Zd, sve_logical_imm16:$imm) - 567
25941
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25942
329k
    {AliasPatternCond_K_Custom, 2},
25943
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25944
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25945
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25946
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25947
    // (DUPM_ZI ZPR32:$Zd, sve_logical_imm32:$imm) - 573
25948
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25949
329k
    {AliasPatternCond_K_Custom, 3},
25950
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25951
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25952
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25953
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25954
    // (DUP_ZI_B ZPR8:$Zd, cpy_imm8_opt_lsl_i8:$imm) - 579
25955
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25956
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25957
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25958
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25959
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25960
    // (DUP_ZI_D ZPR64:$Zd, cpy_imm8_opt_lsl_i64:$imm) - 584
25961
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25962
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25963
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25964
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25965
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25966
    // (DUP_ZI_D ZPR64:$Zd, 0, 0) - 589
25967
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25968
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25969
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25970
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25971
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25972
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25973
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25974
    // (DUP_ZI_H ZPR16:$Zd, cpy_imm8_opt_lsl_i16:$imm) - 596
25975
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25976
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25977
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25978
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25979
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25980
    // (DUP_ZI_H ZPR16:$Zd, 0, 0) - 601
25981
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25982
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25983
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25984
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25985
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25986
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25987
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25988
    // (DUP_ZI_S ZPR32:$Zd, cpy_imm8_opt_lsl_i32:$imm) - 608
25989
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25990
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25991
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
25992
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
25993
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
25994
    // (DUP_ZI_S ZPR32:$Zd, 0, 0) - 613
25995
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
25996
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25997
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
25998
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
25999
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26000
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26001
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26002
    // (DUP_ZR_B ZPR8:$Zd, GPR32sp:$Rn) - 620
26003
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26004
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32spRegClassID},
26005
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26006
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26007
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26008
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26009
    // (DUP_ZR_D ZPR64:$Zd, GPR64sp:$Rn) - 626
26010
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26011
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
26012
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26013
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26014
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26015
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26016
    // (DUP_ZR_H ZPR16:$Zd, GPR32sp:$Rn) - 632
26017
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26018
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32spRegClassID},
26019
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26020
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26021
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26022
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26023
    // (DUP_ZR_S ZPR32:$Zd, GPR32sp:$Rn) - 638
26024
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26025
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32spRegClassID},
26026
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26027
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26028
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26029
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26030
    // (DUP_ZZI_B ZPR8:$Zd, FPR8asZPR:$Bn, 0) - 644
26031
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26032
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26033
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26034
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26035
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26036
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26037
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26038
    // (DUP_ZZI_B ZPR8:$Zd, ZPR8:$Zn, sve_elm_idx_extdup_b:$idx) - 651
26039
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26040
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26041
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26042
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26043
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26044
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26045
    // (DUP_ZZI_D ZPR64:$Zd, FPR64asZPR:$Dn, 0) - 657
26046
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26047
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26048
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26049
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26050
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26051
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26052
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26053
    // (DUP_ZZI_D ZPR64:$Zd, ZPR64:$Zn, sve_elm_idx_extdup_d:$idx) - 664
26054
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26055
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26056
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26057
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26058
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26059
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26060
    // (DUP_ZZI_H ZPR16:$Zd, FPR16asZPR:$Hn, 0) - 670
26061
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26062
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26063
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26064
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26065
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26066
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26067
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26068
    // (DUP_ZZI_H ZPR16:$Zd, ZPR16:$Zn, sve_elm_idx_extdup_h:$idx) - 677
26069
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26070
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26071
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26072
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26073
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26074
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26075
    // (DUP_ZZI_Q ZPR128:$Zd, FPR128asZPR:$Qn, 0) - 683
26076
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26077
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26078
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26079
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26080
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26081
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26082
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26083
    // (DUP_ZZI_Q ZPR128:$Zd, ZPR128:$Zn, sve_elm_idx_extdup_q:$idx) - 690
26084
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26085
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26086
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26087
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26088
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26089
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26090
    // (DUP_ZZI_S ZPR32:$Zd, FPR32asZPR:$Sn, 0) - 696
26091
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26092
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26093
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26094
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26095
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26096
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26097
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26098
    // (DUP_ZZI_S ZPR32:$Zd, ZPR32:$Zn, sve_elm_idx_extdup_s:$idx) - 703
26099
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26100
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26101
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26102
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26103
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26104
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26105
    // (EONWrs GPR32:$dst, GPR32:$src1, GPR32:$src2, 0) - 709
26106
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
26107
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
26108
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
26109
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26110
    // (EONXrs GPR64:$dst, GPR64:$src1, GPR64:$src2, 0) - 713
26111
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
26112
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
26113
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
26114
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26115
    // (EORS_PPzPP PPR8:$Pd, PPRAny:$Pg, PPR8:$Pn, PPRAny:$Pg) - 717
26116
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
26117
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
26118
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
26119
329k
    {AliasPatternCond_K_TiedReg, 1},
26120
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26121
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26122
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26123
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26124
    // (EORWrs GPR32:$dst, GPR32:$src1, GPR32:$src2, 0) - 725
26125
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
26126
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
26127
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
26128
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26129
    // (EORXrs GPR64:$dst, GPR64:$src1, GPR64:$src2, 0) - 729
26130
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
26131
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
26132
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
26133
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26134
    // (EOR_PPzPP PPR8:$Pd, PPRAny:$Pg, PPR8:$Pn, PPRAny:$Pg) - 733
26135
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
26136
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
26137
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
26138
329k
    {AliasPatternCond_K_TiedReg, 1},
26139
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26140
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26141
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26142
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26143
    // (EOR_ZI ZPR8:$Zdn, sve_logical_imm8:$imm) - 741
26144
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26145
329k
    {AliasPatternCond_K_Ignore, 0},
26146
329k
    {AliasPatternCond_K_Custom, 1},
26147
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26148
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26149
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26150
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26151
    // (EOR_ZI ZPR16:$Zdn, sve_logical_imm16:$imm) - 748
26152
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26153
329k
    {AliasPatternCond_K_Ignore, 0},
26154
329k
    {AliasPatternCond_K_Custom, 2},
26155
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26156
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26157
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26158
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26159
    // (EOR_ZI ZPR32:$Zdn, sve_logical_imm32:$imm) - 755
26160
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26161
329k
    {AliasPatternCond_K_Ignore, 0},
26162
329k
    {AliasPatternCond_K_Custom, 3},
26163
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26164
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26165
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26166
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26167
    // (EXTRACT_ZPMXI_H_B ZPR8:$Zd, PPR3bAny:$Pg, TileVectorOpH8:$ZAn, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_15:$imm) - 762
26168
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26169
329k
    {AliasPatternCond_K_Ignore, 0},
26170
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26171
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR8RegClassID},
26172
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
26173
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26174
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26175
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26176
    // (EXTRACT_ZPMXI_H_D ZPR64:$Zd, PPR3bAny:$Pg, TileVectorOpH64:$ZAn, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_1:$imm) - 770
26177
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26178
329k
    {AliasPatternCond_K_Ignore, 0},
26179
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26180
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR64RegClassID},
26181
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
26182
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26183
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26184
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26185
    // (EXTRACT_ZPMXI_H_H ZPR16:$Zd, PPR3bAny:$Pg, TileVectorOpH16:$ZAn, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_7:$imm) - 778
26186
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26187
329k
    {AliasPatternCond_K_Ignore, 0},
26188
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26189
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR16RegClassID},
26190
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
26191
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26192
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26193
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26194
    // (EXTRACT_ZPMXI_H_Q ZPR128:$Zd, PPR3bAny:$Pg, TileVectorOpH128:$ZAn, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_0:$imm) - 786
26195
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26196
329k
    {AliasPatternCond_K_Ignore, 0},
26197
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26198
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR128RegClassID},
26199
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
26200
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26201
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26202
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26203
    // (EXTRACT_ZPMXI_H_S ZPR32:$Zd, PPR3bAny:$Pg, TileVectorOpH32:$ZAn, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_3:$imm) - 794
26204
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26205
329k
    {AliasPatternCond_K_Ignore, 0},
26206
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26207
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR32RegClassID},
26208
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
26209
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26210
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26211
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26212
    // (EXTRACT_ZPMXI_V_B ZPR8:$Zd, PPR3bAny:$Pg, TileVectorOpV8:$ZAn, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_15:$imm) - 802
26213
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26214
329k
    {AliasPatternCond_K_Ignore, 0},
26215
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26216
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR8RegClassID},
26217
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
26218
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26219
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26220
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26221
    // (EXTRACT_ZPMXI_V_D ZPR64:$Zd, PPR3bAny:$Pg, TileVectorOpV64:$ZAn, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_1:$imm) - 810
26222
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26223
329k
    {AliasPatternCond_K_Ignore, 0},
26224
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26225
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR64RegClassID},
26226
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
26227
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26228
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26229
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26230
    // (EXTRACT_ZPMXI_V_H ZPR16:$Zd, PPR3bAny:$Pg, TileVectorOpV16:$ZAn, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_7:$imm) - 818
26231
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26232
329k
    {AliasPatternCond_K_Ignore, 0},
26233
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26234
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR16RegClassID},
26235
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
26236
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26237
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26238
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26239
    // (EXTRACT_ZPMXI_V_Q ZPR128:$Zd, PPR3bAny:$Pg, TileVectorOpV128:$ZAn, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_0:$imm) - 826
26240
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26241
329k
    {AliasPatternCond_K_Ignore, 0},
26242
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26243
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR128RegClassID},
26244
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
26245
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26246
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26247
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26248
    // (EXTRACT_ZPMXI_V_S ZPR32:$Zd, PPR3bAny:$Pg, TileVectorOpV32:$ZAn, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_3:$imm) - 834
26249
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26250
329k
    {AliasPatternCond_K_Ignore, 0},
26251
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26252
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR32RegClassID},
26253
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
26254
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26255
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26256
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26257
    // (EXTRWrri GPR32:$dst, GPR32:$src, GPR32:$src, imm0_31:$shift) - 842
26258
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
26259
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
26260
329k
    {AliasPatternCond_K_TiedReg, 1},
26261
    // (EXTRXrri GPR64:$dst, GPR64:$src, GPR64:$src, imm0_63:$shift) - 845
26262
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
26263
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
26264
329k
    {AliasPatternCond_K_TiedReg, 1},
26265
    // (FCPY_ZPmI_D ZPR64:$Zd, PPRAny:$Pg, fpimm64:$imm8) - 848
26266
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26267
329k
    {AliasPatternCond_K_Ignore, 0},
26268
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
26269
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26270
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26271
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26272
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26273
    // (FCPY_ZPmI_H ZPR16:$Zd, PPRAny:$Pg, fpimm16:$imm8) - 855
26274
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26275
329k
    {AliasPatternCond_K_Ignore, 0},
26276
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
26277
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26278
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26279
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26280
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26281
    // (FCPY_ZPmI_S ZPR32:$Zd, PPRAny:$Pg, fpimm32:$imm8) - 862
26282
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26283
329k
    {AliasPatternCond_K_Ignore, 0},
26284
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
26285
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26286
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26287
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26288
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26289
    // (FDUP_ZI_D ZPR64:$Zd, fpimm64:$imm8) - 869
26290
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26291
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26292
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26293
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26294
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26295
    // (FDUP_ZI_H ZPR16:$Zd, fpimm16:$imm8) - 874
26296
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26297
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26298
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26299
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26300
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26301
    // (FDUP_ZI_S ZPR32:$Zd, fpimm32:$imm8) - 879
26302
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26303
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26304
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26305
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26306
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26307
    // (GCSPOPM XZR) - 884
26308
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
26309
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26310
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureGCS},
26311
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26312
    // (GLD1B_D_IMM_REAL Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, 0) - 888
26313
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26314
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26315
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26316
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26317
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26318
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26319
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26320
    // (GLD1B_S_IMM_REAL Z_s:$Zt, PPR3bAny:$Pg, ZPR32:$Zn, 0) - 895
26321
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26322
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26323
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26324
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26325
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26326
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26327
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26328
    // (GLD1D_IMM_REAL Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, 0) - 902
26329
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26330
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26331
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26332
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26333
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26334
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26335
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26336
    // (GLD1H_D_IMM_REAL Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, 0) - 909
26337
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26338
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26339
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26340
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26341
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26342
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26343
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26344
    // (GLD1H_S_IMM_REAL Z_s:$Zt, PPR3bAny:$Pg, ZPR32:$Zn, 0) - 916
26345
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26346
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26347
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26348
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26349
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26350
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26351
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26352
    // (GLD1Q Z_q:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, XZR) - 923
26353
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26354
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26355
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26356
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
26357
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26358
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
26359
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26360
    // (GLD1SB_D_IMM_REAL Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, 0) - 930
26361
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26362
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26363
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26364
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26365
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26366
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26367
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26368
    // (GLD1SB_S_IMM_REAL Z_s:$Zt, PPR3bAny:$Pg, ZPR32:$Zn, 0) - 937
26369
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26370
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26371
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26372
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26373
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26374
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26375
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26376
    // (GLD1SH_D_IMM_REAL Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, 0) - 944
26377
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26378
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26379
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26380
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26381
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26382
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26383
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26384
    // (GLD1SH_S_IMM_REAL Z_s:$Zt, PPR3bAny:$Pg, ZPR32:$Zn, 0) - 951
26385
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26386
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26387
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26388
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26389
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26390
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26391
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26392
    // (GLD1SW_D_IMM_REAL Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, 0) - 958
26393
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26394
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26395
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26396
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26397
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26398
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26399
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26400
    // (GLD1W_D_IMM_REAL Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, 0) - 965
26401
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26402
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26403
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26404
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26405
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26406
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26407
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26408
    // (GLD1W_IMM_REAL Z_s:$Zt, PPR3bAny:$Pg, ZPR32:$Zn, 0) - 972
26409
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26410
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26411
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26412
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26413
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26414
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26415
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26416
    // (GLDFF1B_D_IMM_REAL Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, 0) - 979
26417
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26418
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26419
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26420
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26421
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26422
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26423
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26424
    // (GLDFF1B_S_IMM_REAL Z_s:$Zt, PPR3bAny:$Pg, ZPR32:$Zn, 0) - 986
26425
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26426
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26427
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26428
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26429
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26430
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26431
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26432
    // (GLDFF1D_IMM_REAL Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, 0) - 993
26433
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26434
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26435
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26436
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26437
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26438
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26439
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26440
    // (GLDFF1H_D_IMM_REAL Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, 0) - 1000
26441
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26442
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26443
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26444
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26445
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26446
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26447
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26448
    // (GLDFF1H_S_IMM_REAL Z_s:$Zt, PPR3bAny:$Pg, ZPR32:$Zn, 0) - 1007
26449
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26450
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26451
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26452
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26453
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26454
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26455
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26456
    // (GLDFF1SB_D_IMM_REAL Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, 0) - 1014
26457
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26458
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26459
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26460
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26461
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26462
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26463
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26464
    // (GLDFF1SB_S_IMM_REAL Z_s:$Zt, PPR3bAny:$Pg, ZPR32:$Zn, 0) - 1021
26465
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26466
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26467
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26468
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26469
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26470
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26471
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26472
    // (GLDFF1SH_D_IMM_REAL Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, 0) - 1028
26473
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26474
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26475
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26476
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26477
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26478
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26479
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26480
    // (GLDFF1SH_S_IMM_REAL Z_s:$Zt, PPR3bAny:$Pg, ZPR32:$Zn, 0) - 1035
26481
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26482
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26483
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26484
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26485
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26486
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26487
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26488
    // (GLDFF1SW_D_IMM_REAL Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, 0) - 1042
26489
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26490
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26491
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26492
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26493
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26494
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26495
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26496
    // (GLDFF1W_D_IMM_REAL Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, 0) - 1049
26497
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26498
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26499
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26500
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26501
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26502
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26503
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26504
    // (GLDFF1W_IMM_REAL Z_s:$Zt, PPR3bAny:$Pg, ZPR32:$Zn, 0) - 1056
26505
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26506
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26507
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26508
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26509
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26510
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26511
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26512
    // (HINT { 0, 0, 0 }) - 1063
26513
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26514
    // (HINT { 0, 0, 1 }) - 1064
26515
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
26516
    // (HINT { 0, 1, 0 }) - 1065
26517
329k
    {AliasPatternCond_K_Imm, (uint32_t)2},
26518
    // (HINT { 0, 1, 1 }) - 1066
26519
329k
    {AliasPatternCond_K_Imm, (uint32_t)3},
26520
    // (HINT { 1, 0, 0 }) - 1067
26521
329k
    {AliasPatternCond_K_Imm, (uint32_t)4},
26522
    // (HINT { 1, 0, 1 }) - 1068
26523
329k
    {AliasPatternCond_K_Imm, (uint32_t)5},
26524
    // (HINT { 1, 1, 0 }) - 1069
26525
329k
    {AliasPatternCond_K_Imm, (uint32_t)6},
26526
    // (HINT { 1, 0, 0, 0, 0 }) - 1070
26527
329k
    {AliasPatternCond_K_Imm, (uint32_t)16},
26528
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26529
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureRAS},
26530
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26531
    // (HINT 20) - 1074
26532
329k
    {AliasPatternCond_K_Imm, (uint32_t)20},
26533
    // (HINT 32) - 1075
26534
329k
    {AliasPatternCond_K_Imm, (uint32_t)32},
26535
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26536
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureBranchTargetId},
26537
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26538
    // (HINT btihint_op:$op) - 1079
26539
329k
    {AliasPatternCond_K_Custom, 8},
26540
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26541
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureBranchTargetId},
26542
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26543
    // (HINT psbhint_op:$op) - 1083
26544
329k
    {AliasPatternCond_K_Custom, 9},
26545
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26546
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSPE},
26547
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26548
    // (HINT 19) - 1087
26549
329k
    {AliasPatternCond_K_Imm, (uint32_t)19},
26550
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26551
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureGCS},
26552
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26553
    // (HINT 22) - 1091
26554
329k
    {AliasPatternCond_K_Imm, (uint32_t)22},
26555
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26556
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureCLRBHB},
26557
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26558
    // (INCB_XPiI GPR64:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 1095
26559
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
26560
329k
    {AliasPatternCond_K_Ignore, 0},
26561
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
26562
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
26563
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26564
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26565
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26566
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26567
    // (INCB_XPiI GPR64:$Rdn, sve_pred_enum:$pattern, 1) - 1103
26568
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
26569
329k
    {AliasPatternCond_K_Ignore, 0},
26570
329k
    {AliasPatternCond_K_Ignore, 0},
26571
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
26572
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26573
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26574
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26575
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26576
    // (INCD_XPiI GPR64:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 1111
26577
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
26578
329k
    {AliasPatternCond_K_Ignore, 0},
26579
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
26580
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
26581
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26582
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26583
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26584
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26585
    // (INCD_XPiI GPR64:$Rdn, sve_pred_enum:$pattern, 1) - 1119
26586
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
26587
329k
    {AliasPatternCond_K_Ignore, 0},
26588
329k
    {AliasPatternCond_K_Ignore, 0},
26589
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
26590
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26591
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26592
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26593
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26594
    // (INCD_ZPiI ZPR64:$Zdn, { 1, 1, 1, 1, 1 }, 1) - 1127
26595
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26596
329k
    {AliasPatternCond_K_Ignore, 0},
26597
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
26598
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
26599
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26600
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26601
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26602
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26603
    // (INCD_ZPiI ZPR64:$Zdn, sve_pred_enum:$pattern, 1) - 1135
26604
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26605
329k
    {AliasPatternCond_K_Ignore, 0},
26606
329k
    {AliasPatternCond_K_Ignore, 0},
26607
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
26608
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26609
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26610
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26611
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26612
    // (INCH_XPiI GPR64:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 1143
26613
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
26614
329k
    {AliasPatternCond_K_Ignore, 0},
26615
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
26616
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
26617
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26618
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26619
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26620
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26621
    // (INCH_XPiI GPR64:$Rdn, sve_pred_enum:$pattern, 1) - 1151
26622
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
26623
329k
    {AliasPatternCond_K_Ignore, 0},
26624
329k
    {AliasPatternCond_K_Ignore, 0},
26625
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
26626
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26627
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26628
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26629
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26630
    // (INCH_ZPiI ZPR16:$Zdn, { 1, 1, 1, 1, 1 }, 1) - 1159
26631
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26632
329k
    {AliasPatternCond_K_Ignore, 0},
26633
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
26634
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
26635
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26636
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26637
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26638
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26639
    // (INCH_ZPiI ZPR16:$Zdn, sve_pred_enum:$pattern, 1) - 1167
26640
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26641
329k
    {AliasPatternCond_K_Ignore, 0},
26642
329k
    {AliasPatternCond_K_Ignore, 0},
26643
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
26644
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26645
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26646
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26647
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26648
    // (INCW_XPiI GPR64:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 1175
26649
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
26650
329k
    {AliasPatternCond_K_Ignore, 0},
26651
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
26652
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
26653
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26654
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26655
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26656
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26657
    // (INCW_XPiI GPR64:$Rdn, sve_pred_enum:$pattern, 1) - 1183
26658
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
26659
329k
    {AliasPatternCond_K_Ignore, 0},
26660
329k
    {AliasPatternCond_K_Ignore, 0},
26661
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
26662
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26663
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26664
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26665
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26666
    // (INCW_ZPiI ZPR32:$Zdn, { 1, 1, 1, 1, 1 }, 1) - 1191
26667
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26668
329k
    {AliasPatternCond_K_Ignore, 0},
26669
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
26670
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
26671
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26672
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26673
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26674
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26675
    // (INCW_ZPiI ZPR32:$Zdn, sve_pred_enum:$pattern, 1) - 1199
26676
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26677
329k
    {AliasPatternCond_K_Ignore, 0},
26678
329k
    {AliasPatternCond_K_Ignore, 0},
26679
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
26680
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26681
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26682
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26683
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26684
    // (INSERT_MXIPZ_H_B TileVectorOpH8:$ZAd, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_15:$imm, PPR3bAny:$Pg, ZPR8:$Zn) - 1207
26685
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR8RegClassID},
26686
329k
    {AliasPatternCond_K_Ignore, 0},
26687
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
26688
329k
    {AliasPatternCond_K_Ignore, 0},
26689
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26690
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26691
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26692
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26693
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26694
    // (INSERT_MXIPZ_H_D TileVectorOpH64:$ZAd, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_1:$imm, PPR3bAny:$Pg, ZPR64:$Zn) - 1216
26695
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR64RegClassID},
26696
329k
    {AliasPatternCond_K_Ignore, 0},
26697
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
26698
329k
    {AliasPatternCond_K_Ignore, 0},
26699
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26700
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26701
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26702
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26703
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26704
    // (INSERT_MXIPZ_H_H TileVectorOpH16:$ZAd, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_7:$imm, PPR3bAny:$Pg, ZPR16:$Zn) - 1225
26705
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR16RegClassID},
26706
329k
    {AliasPatternCond_K_Ignore, 0},
26707
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
26708
329k
    {AliasPatternCond_K_Ignore, 0},
26709
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26710
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26711
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26712
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26713
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26714
    // (INSERT_MXIPZ_H_Q TileVectorOpH128:$ZAd, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_0:$imm, PPR3bAny:$Pg, ZPR128:$Zn) - 1234
26715
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR128RegClassID},
26716
329k
    {AliasPatternCond_K_Ignore, 0},
26717
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
26718
329k
    {AliasPatternCond_K_Ignore, 0},
26719
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26720
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26721
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26722
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26723
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26724
    // (INSERT_MXIPZ_H_S TileVectorOpH32:$ZAd, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_3:$imm, PPR3bAny:$Pg, ZPR32:$Zn) - 1243
26725
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR32RegClassID},
26726
329k
    {AliasPatternCond_K_Ignore, 0},
26727
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
26728
329k
    {AliasPatternCond_K_Ignore, 0},
26729
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26730
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26731
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26732
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26733
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26734
    // (INSERT_MXIPZ_V_B TileVectorOpV8:$ZAd, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_15:$imm, PPR3bAny:$Pg, ZPR8:$Zn) - 1252
26735
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR8RegClassID},
26736
329k
    {AliasPatternCond_K_Ignore, 0},
26737
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
26738
329k
    {AliasPatternCond_K_Ignore, 0},
26739
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26740
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26741
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26742
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26743
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26744
    // (INSERT_MXIPZ_V_D TileVectorOpV64:$ZAd, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_1:$imm, PPR3bAny:$Pg, ZPR64:$Zn) - 1261
26745
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR64RegClassID},
26746
329k
    {AliasPatternCond_K_Ignore, 0},
26747
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
26748
329k
    {AliasPatternCond_K_Ignore, 0},
26749
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26750
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26751
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26752
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26753
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26754
    // (INSERT_MXIPZ_V_H TileVectorOpV16:$ZAd, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_7:$imm, PPR3bAny:$Pg, ZPR16:$Zn) - 1270
26755
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR16RegClassID},
26756
329k
    {AliasPatternCond_K_Ignore, 0},
26757
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
26758
329k
    {AliasPatternCond_K_Ignore, 0},
26759
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26760
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26761
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26762
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26763
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26764
    // (INSERT_MXIPZ_V_Q TileVectorOpV128:$ZAd, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_0:$imm, PPR3bAny:$Pg, ZPR128:$Zn) - 1279
26765
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR128RegClassID},
26766
329k
    {AliasPatternCond_K_Ignore, 0},
26767
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
26768
329k
    {AliasPatternCond_K_Ignore, 0},
26769
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26770
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26771
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26772
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26773
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26774
    // (INSERT_MXIPZ_V_S TileVectorOpV32:$ZAd, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_3:$imm, PPR3bAny:$Pg, ZPR32:$Zn) - 1288
26775
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR32RegClassID},
26776
329k
    {AliasPatternCond_K_Ignore, 0},
26777
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
26778
329k
    {AliasPatternCond_K_Ignore, 0},
26779
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26780
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26781
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26782
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26783
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26784
    // (INSvi16gpr V128:$dst, VectorIndexH:$idx, GPR32:$src) - 1297
26785
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
26786
329k
    {AliasPatternCond_K_Ignore, 0},
26787
329k
    {AliasPatternCond_K_Ignore, 0},
26788
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
26789
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26790
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
26791
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26792
    // (INSvi16lane V128:$dst, VectorIndexH:$idx, V128:$src, VectorIndexH:$idx2) - 1304
26793
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
26794
329k
    {AliasPatternCond_K_Ignore, 0},
26795
329k
    {AliasPatternCond_K_Ignore, 0},
26796
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
26797
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26798
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
26799
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26800
    // (INSvi32gpr V128:$dst, VectorIndexS:$idx, GPR32:$src) - 1311
26801
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
26802
329k
    {AliasPatternCond_K_Ignore, 0},
26803
329k
    {AliasPatternCond_K_Ignore, 0},
26804
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
26805
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26806
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
26807
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26808
    // (INSvi32lane V128:$dst, VectorIndexS:$idx, V128:$src, VectorIndexS:$idx2) - 1318
26809
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
26810
329k
    {AliasPatternCond_K_Ignore, 0},
26811
329k
    {AliasPatternCond_K_Ignore, 0},
26812
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
26813
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26814
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
26815
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26816
    // (INSvi64gpr V128:$dst, VectorIndexD:$idx, GPR64:$src) - 1325
26817
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
26818
329k
    {AliasPatternCond_K_Ignore, 0},
26819
329k
    {AliasPatternCond_K_Ignore, 0},
26820
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
26821
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26822
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
26823
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26824
    // (INSvi64lane V128:$dst, VectorIndexD:$idx, V128:$src, VectorIndexD:$idx2) - 1332
26825
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
26826
329k
    {AliasPatternCond_K_Ignore, 0},
26827
329k
    {AliasPatternCond_K_Ignore, 0},
26828
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
26829
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26830
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
26831
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26832
    // (INSvi8gpr V128:$dst, VectorIndexB:$idx, GPR32:$src) - 1339
26833
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
26834
329k
    {AliasPatternCond_K_Ignore, 0},
26835
329k
    {AliasPatternCond_K_Ignore, 0},
26836
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
26837
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26838
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
26839
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26840
    // (INSvi8lane V128:$dst, VectorIndexB:$idx, V128:$src, VectorIndexB:$idx2) - 1346
26841
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
26842
329k
    {AliasPatternCond_K_Ignore, 0},
26843
329k
    {AliasPatternCond_K_Ignore, 0},
26844
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
26845
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26846
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
26847
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26848
    // (IRG GPR64sp:$dst, GPR64sp:$src, XZR) - 1353
26849
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
26850
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
26851
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
26852
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26853
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureMTE},
26854
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26855
    // (ISB 15) - 1359
26856
329k
    {AliasPatternCond_K_Imm, (uint32_t)15},
26857
    // (LD1B_2Z_IMM ZZ_b_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 1360
26858
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
26859
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
26860
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
26861
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26862
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26863
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
26864
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
26865
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26866
    // (LD1B_2Z_STRIDED_IMM ZZ_b_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 1368
26867
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2StridedRegClassID},
26868
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
26869
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
26870
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26871
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26872
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
26873
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26874
    // (LD1B_4Z_IMM ZZZZ_b_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 1375
26875
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
26876
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
26877
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
26878
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26879
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26880
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
26881
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
26882
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26883
    // (LD1B_4Z_STRIDED_IMM ZZZZ_b_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 1383
26884
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4StridedRegClassID},
26885
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
26886
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
26887
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26888
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26889
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
26890
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26891
    // (LD1B_D_IMM Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1390
26892
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26893
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26894
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
26895
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26896
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26897
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26898
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26899
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26900
    // (LD1B_H_IMM Z_h:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1398
26901
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26902
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26903
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
26904
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26905
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26906
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26907
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26908
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26909
    // (LD1B_IMM Z_b:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1406
26910
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26911
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26912
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
26913
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26914
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26915
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26916
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26917
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26918
    // (LD1B_S_IMM Z_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1414
26919
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26920
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26921
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
26922
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26923
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26924
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26925
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26926
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26927
    // (LD1D_2Z_IMM ZZ_d_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 1422
26928
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
26929
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
26930
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
26931
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26932
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26933
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
26934
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
26935
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26936
    // (LD1D_2Z_STRIDED_IMM ZZ_d_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 1430
26937
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2StridedRegClassID},
26938
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
26939
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
26940
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26941
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26942
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
26943
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26944
    // (LD1D_4Z_IMM ZZZZ_d_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 1437
26945
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
26946
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
26947
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
26948
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26949
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26950
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
26951
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
26952
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26953
    // (LD1D_4Z_STRIDED_IMM ZZZZ_d_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 1445
26954
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4StridedRegClassID},
26955
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
26956
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
26957
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26958
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26959
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
26960
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26961
    // (LD1D_IMM Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1452
26962
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26963
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26964
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
26965
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26966
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26967
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
26968
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
26969
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26970
    // (LD1D_Q_IMM Z_q:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1460
26971
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
26972
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
26973
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
26974
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
26975
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26976
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
26977
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26978
    // (LD1Fourv16b_POST GPR64sp:$Rn, VecListFour16b:$Vt, XZR) - 1467
26979
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
26980
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
26981
329k
    {AliasPatternCond_K_Ignore, 0},
26982
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
26983
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26984
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
26985
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26986
    // (LD1Fourv1d_POST GPR64sp:$Rn, VecListFour1d:$Vt, XZR) - 1474
26987
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
26988
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDDRegClassID},
26989
329k
    {AliasPatternCond_K_Ignore, 0},
26990
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
26991
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
26992
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
26993
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
26994
    // (LD1Fourv2d_POST GPR64sp:$Rn, VecListFour2d:$Vt, XZR) - 1481
26995
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
26996
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
26997
329k
    {AliasPatternCond_K_Ignore, 0},
26998
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
26999
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27000
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27001
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27002
    // (LD1Fourv2s_POST GPR64sp:$Rn, VecListFour2s:$Vt, XZR) - 1488
27003
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27004
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDDRegClassID},
27005
329k
    {AliasPatternCond_K_Ignore, 0},
27006
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27007
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27008
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27009
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27010
    // (LD1Fourv4h_POST GPR64sp:$Rn, VecListFour4h:$Vt, XZR) - 1495
27011
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27012
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDDRegClassID},
27013
329k
    {AliasPatternCond_K_Ignore, 0},
27014
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27015
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27016
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27017
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27018
    // (LD1Fourv4s_POST GPR64sp:$Rn, VecListFour4s:$Vt, XZR) - 1502
27019
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27020
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
27021
329k
    {AliasPatternCond_K_Ignore, 0},
27022
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27023
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27024
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27025
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27026
    // (LD1Fourv8b_POST GPR64sp:$Rn, VecListFour8b:$Vt, XZR) - 1509
27027
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27028
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDDRegClassID},
27029
329k
    {AliasPatternCond_K_Ignore, 0},
27030
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27031
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27032
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27033
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27034
    // (LD1Fourv8h_POST GPR64sp:$Rn, VecListFour8h:$Vt, XZR) - 1516
27035
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27036
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
27037
329k
    {AliasPatternCond_K_Ignore, 0},
27038
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27039
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27040
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27041
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27042
    // (LD1H_2Z_IMM ZZ_h_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 1523
27043
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
27044
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
27045
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27046
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27047
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27048
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
27049
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
27050
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27051
    // (LD1H_2Z_STRIDED_IMM ZZ_h_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 1531
27052
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2StridedRegClassID},
27053
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
27054
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27055
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27056
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27057
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
27058
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27059
    // (LD1H_4Z_IMM ZZZZ_h_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 1538
27060
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
27061
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
27062
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27063
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27064
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27065
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
27066
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
27067
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27068
    // (LD1H_4Z_STRIDED_IMM ZZZZ_h_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 1546
27069
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4StridedRegClassID},
27070
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
27071
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27072
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27073
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27074
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
27075
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27076
    // (LD1H_D_IMM Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1553
27077
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27078
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27079
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27080
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27081
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27082
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27083
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27084
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27085
    // (LD1H_IMM Z_h:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1561
27086
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27087
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27088
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27089
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27090
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27091
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27092
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27093
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27094
    // (LD1H_S_IMM Z_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1569
27095
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27096
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27097
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27098
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27099
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27100
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27101
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27102
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27103
    // (LD1Onev16b_POST GPR64sp:$Rn, VecListOne16b:$Vt, XZR) - 1577
27104
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27105
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
27106
329k
    {AliasPatternCond_K_Ignore, 0},
27107
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27108
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27109
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27110
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27111
    // (LD1Onev1d_POST GPR64sp:$Rn, VecListOne1d:$Vt, XZR) - 1584
27112
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27113
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
27114
329k
    {AliasPatternCond_K_Ignore, 0},
27115
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27116
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27117
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27118
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27119
    // (LD1Onev2d_POST GPR64sp:$Rn, VecListOne2d:$Vt, XZR) - 1591
27120
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27121
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
27122
329k
    {AliasPatternCond_K_Ignore, 0},
27123
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27124
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27125
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27126
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27127
    // (LD1Onev2s_POST GPR64sp:$Rn, VecListOne2s:$Vt, XZR) - 1598
27128
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27129
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
27130
329k
    {AliasPatternCond_K_Ignore, 0},
27131
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27132
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27133
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27134
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27135
    // (LD1Onev4h_POST GPR64sp:$Rn, VecListOne4h:$Vt, XZR) - 1605
27136
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27137
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
27138
329k
    {AliasPatternCond_K_Ignore, 0},
27139
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27140
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27141
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27142
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27143
    // (LD1Onev4s_POST GPR64sp:$Rn, VecListOne4s:$Vt, XZR) - 1612
27144
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27145
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
27146
329k
    {AliasPatternCond_K_Ignore, 0},
27147
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27148
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27149
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27150
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27151
    // (LD1Onev8b_POST GPR64sp:$Rn, VecListOne8b:$Vt, XZR) - 1619
27152
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27153
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
27154
329k
    {AliasPatternCond_K_Ignore, 0},
27155
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27156
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27157
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27158
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27159
    // (LD1Onev8h_POST GPR64sp:$Rn, VecListOne8h:$Vt, XZR) - 1626
27160
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27161
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
27162
329k
    {AliasPatternCond_K_Ignore, 0},
27163
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27164
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27165
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27166
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27167
    // (LD1RB_D_IMM Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1633
27168
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27169
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27170
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27171
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27172
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27173
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27174
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27175
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27176
    // (LD1RB_H_IMM Z_h:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1641
27177
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27178
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27179
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27180
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27181
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27182
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27183
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27184
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27185
    // (LD1RB_IMM Z_b:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1649
27186
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27187
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27188
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27189
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27190
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27191
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27192
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27193
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27194
    // (LD1RB_S_IMM Z_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1657
27195
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27196
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27197
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27198
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27199
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27200
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27201
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27202
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27203
    // (LD1RD_IMM Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1665
27204
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27205
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27206
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27207
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27208
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27209
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27210
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27211
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27212
    // (LD1RH_D_IMM Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1673
27213
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27214
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27215
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27216
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27217
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27218
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27219
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27220
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27221
    // (LD1RH_IMM Z_h:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1681
27222
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27223
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27224
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27225
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27226
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27227
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27228
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27229
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27230
    // (LD1RH_S_IMM Z_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1689
27231
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27232
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27233
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27234
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27235
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27236
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27237
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27238
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27239
    // (LD1RO_B_IMM Z_b:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1697
27240
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27241
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27242
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27243
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27244
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27245
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27246
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27247
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27248
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureMatMulFP64},
27249
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27250
    // (LD1RO_D_IMM Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1707
27251
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27252
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27253
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27254
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27255
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27256
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27257
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27258
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27259
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureMatMulFP64},
27260
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27261
    // (LD1RO_H_IMM Z_h:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1717
27262
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27263
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27264
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27265
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27266
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27267
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27268
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27269
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27270
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureMatMulFP64},
27271
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27272
    // (LD1RO_W_IMM Z_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1727
27273
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27274
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27275
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27276
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27277
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27278
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27279
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27280
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27281
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureMatMulFP64},
27282
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27283
    // (LD1RQ_B_IMM Z_b:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1737
27284
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27285
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27286
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27287
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27288
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27289
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27290
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27291
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27292
    // (LD1RQ_D_IMM Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1745
27293
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27294
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27295
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27296
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27297
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27298
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27299
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27300
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27301
    // (LD1RQ_H_IMM Z_h:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1753
27302
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27303
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27304
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27305
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27306
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27307
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27308
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27309
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27310
    // (LD1RQ_W_IMM Z_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1761
27311
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27312
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27313
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27314
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27315
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27316
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27317
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27318
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27319
    // (LD1RSB_D_IMM Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1769
27320
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27321
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27322
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27323
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27324
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27325
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27326
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27327
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27328
    // (LD1RSB_H_IMM Z_h:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1777
27329
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27330
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27331
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27332
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27333
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27334
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27335
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27336
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27337
    // (LD1RSB_S_IMM Z_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1785
27338
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27339
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27340
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27341
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27342
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27343
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27344
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27345
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27346
    // (LD1RSH_D_IMM Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1793
27347
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27348
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27349
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27350
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27351
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27352
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27353
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27354
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27355
    // (LD1RSH_S_IMM Z_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1801
27356
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27357
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27358
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27359
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27360
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27361
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27362
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27363
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27364
    // (LD1RSW_IMM Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1809
27365
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27366
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27367
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27368
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27369
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27370
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27371
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27372
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27373
    // (LD1RW_D_IMM Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1817
27374
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27375
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27376
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27377
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27378
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27379
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27380
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27381
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27382
    // (LD1RW_IMM Z_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1825
27383
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27384
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27385
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27386
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27387
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27388
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27389
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27390
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27391
    // (LD1Rv16b_POST GPR64sp:$Rn, VecListOne16b:$Vt, XZR) - 1833
27392
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27393
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
27394
329k
    {AliasPatternCond_K_Ignore, 0},
27395
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27396
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27397
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27398
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27399
    // (LD1Rv1d_POST GPR64sp:$Rn, VecListOne1d:$Vt, XZR) - 1840
27400
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27401
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
27402
329k
    {AliasPatternCond_K_Ignore, 0},
27403
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27404
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27405
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27406
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27407
    // (LD1Rv2d_POST GPR64sp:$Rn, VecListOne2d:$Vt, XZR) - 1847
27408
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27409
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
27410
329k
    {AliasPatternCond_K_Ignore, 0},
27411
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27412
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27413
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27414
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27415
    // (LD1Rv2s_POST GPR64sp:$Rn, VecListOne2s:$Vt, XZR) - 1854
27416
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27417
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
27418
329k
    {AliasPatternCond_K_Ignore, 0},
27419
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27420
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27421
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27422
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27423
    // (LD1Rv4h_POST GPR64sp:$Rn, VecListOne4h:$Vt, XZR) - 1861
27424
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27425
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
27426
329k
    {AliasPatternCond_K_Ignore, 0},
27427
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27428
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27429
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27430
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27431
    // (LD1Rv4s_POST GPR64sp:$Rn, VecListOne4s:$Vt, XZR) - 1868
27432
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27433
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
27434
329k
    {AliasPatternCond_K_Ignore, 0},
27435
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27436
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27437
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27438
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27439
    // (LD1Rv8b_POST GPR64sp:$Rn, VecListOne8b:$Vt, XZR) - 1875
27440
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27441
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
27442
329k
    {AliasPatternCond_K_Ignore, 0},
27443
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27444
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27445
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27446
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27447
    // (LD1Rv8h_POST GPR64sp:$Rn, VecListOne8h:$Vt, XZR) - 1882
27448
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27449
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
27450
329k
    {AliasPatternCond_K_Ignore, 0},
27451
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27452
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27453
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27454
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27455
    // (LD1SB_D_IMM Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1889
27456
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27457
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27458
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27459
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27460
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27461
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27462
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27463
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27464
    // (LD1SB_H_IMM Z_h:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1897
27465
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27466
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27467
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27468
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27469
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27470
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27471
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27472
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27473
    // (LD1SB_S_IMM Z_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1905
27474
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27475
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27476
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27477
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27478
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27479
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27480
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27481
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27482
    // (LD1SH_D_IMM Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1913
27483
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27484
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27485
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27486
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27487
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27488
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27489
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27490
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27491
    // (LD1SH_S_IMM Z_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1921
27492
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27493
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27494
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27495
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27496
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27497
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27498
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27499
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27500
    // (LD1SW_D_IMM Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 1929
27501
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27502
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27503
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27504
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27505
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27506
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27507
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27508
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27509
    // (LD1Threev16b_POST GPR64sp:$Rn, VecListThree16b:$Vt, XZR) - 1937
27510
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27511
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
27512
329k
    {AliasPatternCond_K_Ignore, 0},
27513
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27514
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27515
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27516
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27517
    // (LD1Threev1d_POST GPR64sp:$Rn, VecListThree1d:$Vt, XZR) - 1944
27518
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27519
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDRegClassID},
27520
329k
    {AliasPatternCond_K_Ignore, 0},
27521
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27522
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27523
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27524
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27525
    // (LD1Threev2d_POST GPR64sp:$Rn, VecListThree2d:$Vt, XZR) - 1951
27526
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27527
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
27528
329k
    {AliasPatternCond_K_Ignore, 0},
27529
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27530
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27531
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27532
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27533
    // (LD1Threev2s_POST GPR64sp:$Rn, VecListThree2s:$Vt, XZR) - 1958
27534
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27535
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDRegClassID},
27536
329k
    {AliasPatternCond_K_Ignore, 0},
27537
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27538
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27539
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27540
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27541
    // (LD1Threev4h_POST GPR64sp:$Rn, VecListThree4h:$Vt, XZR) - 1965
27542
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27543
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDRegClassID},
27544
329k
    {AliasPatternCond_K_Ignore, 0},
27545
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27546
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27547
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27548
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27549
    // (LD1Threev4s_POST GPR64sp:$Rn, VecListThree4s:$Vt, XZR) - 1972
27550
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27551
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
27552
329k
    {AliasPatternCond_K_Ignore, 0},
27553
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27554
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27555
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27556
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27557
    // (LD1Threev8b_POST GPR64sp:$Rn, VecListThree8b:$Vt, XZR) - 1979
27558
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27559
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDRegClassID},
27560
329k
    {AliasPatternCond_K_Ignore, 0},
27561
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27562
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27563
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27564
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27565
    // (LD1Threev8h_POST GPR64sp:$Rn, VecListThree8h:$Vt, XZR) - 1986
27566
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27567
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
27568
329k
    {AliasPatternCond_K_Ignore, 0},
27569
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27570
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27571
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27572
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27573
    // (LD1Twov16b_POST GPR64sp:$Rn, VecListTwo16b:$Vt, XZR) - 1993
27574
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27575
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
27576
329k
    {AliasPatternCond_K_Ignore, 0},
27577
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27578
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27579
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27580
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27581
    // (LD1Twov1d_POST GPR64sp:$Rn, VecListTwo1d:$Vt, XZR) - 2000
27582
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27583
329k
    {AliasPatternCond_K_RegClass, AArch64_DDRegClassID},
27584
329k
    {AliasPatternCond_K_Ignore, 0},
27585
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27586
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27587
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27588
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27589
    // (LD1Twov2d_POST GPR64sp:$Rn, VecListTwo2d:$Vt, XZR) - 2007
27590
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27591
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
27592
329k
    {AliasPatternCond_K_Ignore, 0},
27593
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27594
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27595
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27596
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27597
    // (LD1Twov2s_POST GPR64sp:$Rn, VecListTwo2s:$Vt, XZR) - 2014
27598
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27599
329k
    {AliasPatternCond_K_RegClass, AArch64_DDRegClassID},
27600
329k
    {AliasPatternCond_K_Ignore, 0},
27601
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27602
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27603
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27604
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27605
    // (LD1Twov4h_POST GPR64sp:$Rn, VecListTwo4h:$Vt, XZR) - 2021
27606
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27607
329k
    {AliasPatternCond_K_RegClass, AArch64_DDRegClassID},
27608
329k
    {AliasPatternCond_K_Ignore, 0},
27609
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27610
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27611
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27612
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27613
    // (LD1Twov4s_POST GPR64sp:$Rn, VecListTwo4s:$Vt, XZR) - 2028
27614
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27615
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
27616
329k
    {AliasPatternCond_K_Ignore, 0},
27617
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27618
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27619
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27620
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27621
    // (LD1Twov8b_POST GPR64sp:$Rn, VecListTwo8b:$Vt, XZR) - 2035
27622
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27623
329k
    {AliasPatternCond_K_RegClass, AArch64_DDRegClassID},
27624
329k
    {AliasPatternCond_K_Ignore, 0},
27625
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27626
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27627
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27628
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27629
    // (LD1Twov8h_POST GPR64sp:$Rn, VecListTwo8h:$Vt, XZR) - 2042
27630
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27631
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
27632
329k
    {AliasPatternCond_K_Ignore, 0},
27633
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27634
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27635
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27636
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27637
    // (LD1W_2Z_IMM ZZ_s_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 2049
27638
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
27639
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
27640
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27641
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27642
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27643
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
27644
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
27645
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27646
    // (LD1W_2Z_STRIDED_IMM ZZ_s_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 2057
27647
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2StridedRegClassID},
27648
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
27649
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27650
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27651
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27652
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
27653
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27654
    // (LD1W_4Z_IMM ZZZZ_s_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 2064
27655
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
27656
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
27657
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27658
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27659
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27660
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
27661
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
27662
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27663
    // (LD1W_4Z_STRIDED_IMM ZZZZ_s_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 2072
27664
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4StridedRegClassID},
27665
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
27666
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27667
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27668
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27669
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
27670
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27671
    // (LD1W_D_IMM Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 2079
27672
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27673
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27674
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27675
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27676
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27677
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27678
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27679
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27680
    // (LD1W_IMM Z_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 2087
27681
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27682
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27683
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27684
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27685
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27686
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27687
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27688
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27689
    // (LD1W_Q_IMM Z_q:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 2095
27690
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
27691
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27692
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27693
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27694
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27695
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
27696
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27697
    // (LD1_MXIPXX_H_B TileVectorOpH8:$ZAt, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_15:$imm, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 2102
27698
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR8RegClassID},
27699
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
27700
329k
    {AliasPatternCond_K_Ignore, 0},
27701
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27702
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27703
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27704
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27705
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27706
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27707
    // (LD1_MXIPXX_H_D TileVectorOpH64:$ZAt, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_1:$imm, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 2111
27708
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR64RegClassID},
27709
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
27710
329k
    {AliasPatternCond_K_Ignore, 0},
27711
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27712
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27713
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27714
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27715
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27716
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27717
    // (LD1_MXIPXX_H_H TileVectorOpH16:$ZAt, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_7:$imm, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 2120
27718
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR16RegClassID},
27719
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
27720
329k
    {AliasPatternCond_K_Ignore, 0},
27721
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27722
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27723
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27724
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27725
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27726
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27727
    // (LD1_MXIPXX_H_Q TileVectorOpH128:$ZAt, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_0:$imm, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 2129
27728
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR128RegClassID},
27729
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
27730
329k
    {AliasPatternCond_K_Ignore, 0},
27731
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27732
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27733
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27734
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27735
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27736
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27737
    // (LD1_MXIPXX_H_S TileVectorOpH32:$ZAt, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_3:$imm, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 2138
27738
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR32RegClassID},
27739
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
27740
329k
    {AliasPatternCond_K_Ignore, 0},
27741
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27742
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27743
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27744
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27745
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27746
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27747
    // (LD1_MXIPXX_V_B TileVectorOpV8:$ZAt, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_15:$imm, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 2147
27748
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR8RegClassID},
27749
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
27750
329k
    {AliasPatternCond_K_Ignore, 0},
27751
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27752
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27753
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27754
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27755
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27756
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27757
    // (LD1_MXIPXX_V_D TileVectorOpV64:$ZAt, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_1:$imm, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 2156
27758
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR64RegClassID},
27759
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
27760
329k
    {AliasPatternCond_K_Ignore, 0},
27761
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27762
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27763
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27764
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27765
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27766
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27767
    // (LD1_MXIPXX_V_H TileVectorOpV16:$ZAt, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_7:$imm, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 2165
27768
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR16RegClassID},
27769
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
27770
329k
    {AliasPatternCond_K_Ignore, 0},
27771
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27772
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27773
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27774
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27775
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27776
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27777
    // (LD1_MXIPXX_V_Q TileVectorOpV128:$ZAt, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_0:$imm, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 2174
27778
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR128RegClassID},
27779
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
27780
329k
    {AliasPatternCond_K_Ignore, 0},
27781
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27782
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27783
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27784
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27785
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27786
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27787
    // (LD1_MXIPXX_V_S TileVectorOpV32:$ZAt, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_3:$imm, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 2183
27788
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR32RegClassID},
27789
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
27790
329k
    {AliasPatternCond_K_Ignore, 0},
27791
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27792
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27793
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27794
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27795
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27796
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27797
    // (LD1i16_POST GPR64sp:$Rn, VecListOneh:$Vt, VectorIndexH:$idx, XZR) - 2192
27798
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27799
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
27800
329k
    {AliasPatternCond_K_Ignore, 0},
27801
329k
    {AliasPatternCond_K_Ignore, 0},
27802
329k
    {AliasPatternCond_K_Ignore, 0},
27803
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27804
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27805
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27806
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27807
    // (LD1i32_POST GPR64sp:$Rn, VecListOnes:$Vt, VectorIndexS:$idx, XZR) - 2201
27808
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27809
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
27810
329k
    {AliasPatternCond_K_Ignore, 0},
27811
329k
    {AliasPatternCond_K_Ignore, 0},
27812
329k
    {AliasPatternCond_K_Ignore, 0},
27813
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27814
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27815
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27816
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27817
    // (LD1i64_POST GPR64sp:$Rn, VecListOned:$Vt, VectorIndexD:$idx, XZR) - 2210
27818
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27819
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
27820
329k
    {AliasPatternCond_K_Ignore, 0},
27821
329k
    {AliasPatternCond_K_Ignore, 0},
27822
329k
    {AliasPatternCond_K_Ignore, 0},
27823
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27824
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27825
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27826
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27827
    // (LD1i8_POST GPR64sp:$Rn, VecListOneb:$Vt, VectorIndexB:$idx, XZR) - 2219
27828
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27829
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
27830
329k
    {AliasPatternCond_K_Ignore, 0},
27831
329k
    {AliasPatternCond_K_Ignore, 0},
27832
329k
    {AliasPatternCond_K_Ignore, 0},
27833
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27834
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27835
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27836
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27837
    // (LD2B_IMM ZZ_b:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 2228
27838
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2RegClassID},
27839
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27840
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27841
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27842
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27843
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27844
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27845
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27846
    // (LD2D_IMM ZZ_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 2236
27847
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2RegClassID},
27848
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27849
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27850
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27851
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27852
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27853
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27854
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27855
    // (LD2H_IMM ZZ_h:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 2244
27856
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2RegClassID},
27857
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27858
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27859
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27860
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27861
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
27862
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
27863
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27864
    // (LD2Q_IMM ZZ_q:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 2252
27865
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2RegClassID},
27866
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27867
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27868
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27869
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27870
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2p1},
27871
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
27872
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27873
    // (LD2Rv16b_POST GPR64sp:$Rn, VecListTwo16b:$Vt, XZR) - 2260
27874
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27875
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
27876
329k
    {AliasPatternCond_K_Ignore, 0},
27877
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27878
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27879
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27880
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27881
    // (LD2Rv1d_POST GPR64sp:$Rn, VecListTwo1d:$Vt, XZR) - 2267
27882
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27883
329k
    {AliasPatternCond_K_RegClass, AArch64_DDRegClassID},
27884
329k
    {AliasPatternCond_K_Ignore, 0},
27885
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27886
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27887
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27888
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27889
    // (LD2Rv2d_POST GPR64sp:$Rn, VecListTwo2d:$Vt, XZR) - 2274
27890
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27891
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
27892
329k
    {AliasPatternCond_K_Ignore, 0},
27893
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27894
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27895
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27896
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27897
    // (LD2Rv2s_POST GPR64sp:$Rn, VecListTwo2s:$Vt, XZR) - 2281
27898
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27899
329k
    {AliasPatternCond_K_RegClass, AArch64_DDRegClassID},
27900
329k
    {AliasPatternCond_K_Ignore, 0},
27901
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27902
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27903
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27904
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27905
    // (LD2Rv4h_POST GPR64sp:$Rn, VecListTwo4h:$Vt, XZR) - 2288
27906
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27907
329k
    {AliasPatternCond_K_RegClass, AArch64_DDRegClassID},
27908
329k
    {AliasPatternCond_K_Ignore, 0},
27909
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27910
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27911
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27912
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27913
    // (LD2Rv4s_POST GPR64sp:$Rn, VecListTwo4s:$Vt, XZR) - 2295
27914
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27915
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
27916
329k
    {AliasPatternCond_K_Ignore, 0},
27917
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27918
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27919
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27920
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27921
    // (LD2Rv8b_POST GPR64sp:$Rn, VecListTwo8b:$Vt, XZR) - 2302
27922
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27923
329k
    {AliasPatternCond_K_RegClass, AArch64_DDRegClassID},
27924
329k
    {AliasPatternCond_K_Ignore, 0},
27925
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27926
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27927
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27928
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27929
    // (LD2Rv8h_POST GPR64sp:$Rn, VecListTwo8h:$Vt, XZR) - 2309
27930
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27931
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
27932
329k
    {AliasPatternCond_K_Ignore, 0},
27933
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27934
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27935
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27936
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27937
    // (LD2Twov16b_POST GPR64sp:$Rn, VecListTwo16b:$Vt, XZR) - 2316
27938
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27939
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
27940
329k
    {AliasPatternCond_K_Ignore, 0},
27941
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27942
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27943
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27944
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27945
    // (LD2Twov2d_POST GPR64sp:$Rn, VecListTwo2d:$Vt, XZR) - 2323
27946
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27947
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
27948
329k
    {AliasPatternCond_K_Ignore, 0},
27949
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27950
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27951
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27952
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27953
    // (LD2Twov2s_POST GPR64sp:$Rn, VecListTwo2s:$Vt, XZR) - 2330
27954
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27955
329k
    {AliasPatternCond_K_RegClass, AArch64_DDRegClassID},
27956
329k
    {AliasPatternCond_K_Ignore, 0},
27957
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27958
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27959
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27960
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27961
    // (LD2Twov4h_POST GPR64sp:$Rn, VecListTwo4h:$Vt, XZR) - 2337
27962
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27963
329k
    {AliasPatternCond_K_RegClass, AArch64_DDRegClassID},
27964
329k
    {AliasPatternCond_K_Ignore, 0},
27965
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27966
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27967
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27968
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27969
    // (LD2Twov4s_POST GPR64sp:$Rn, VecListTwo4s:$Vt, XZR) - 2344
27970
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27971
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
27972
329k
    {AliasPatternCond_K_Ignore, 0},
27973
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27974
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27975
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27976
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27977
    // (LD2Twov8b_POST GPR64sp:$Rn, VecListTwo8b:$Vt, XZR) - 2351
27978
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27979
329k
    {AliasPatternCond_K_RegClass, AArch64_DDRegClassID},
27980
329k
    {AliasPatternCond_K_Ignore, 0},
27981
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27982
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27983
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27984
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27985
    // (LD2Twov8h_POST GPR64sp:$Rn, VecListTwo8h:$Vt, XZR) - 2358
27986
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27987
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
27988
329k
    {AliasPatternCond_K_Ignore, 0},
27989
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
27990
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27991
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
27992
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
27993
    // (LD2W_IMM ZZ_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 2365
27994
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2RegClassID},
27995
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
27996
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
27997
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
27998
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
27999
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28000
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
28001
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28002
    // (LD2i16_POST GPR64sp:$Rn, VecListTwoh:$Vt, VectorIndexH:$idx, XZR) - 2373
28003
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28004
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
28005
329k
    {AliasPatternCond_K_Ignore, 0},
28006
329k
    {AliasPatternCond_K_Ignore, 0},
28007
329k
    {AliasPatternCond_K_Ignore, 0},
28008
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28009
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28010
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28011
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28012
    // (LD2i32_POST GPR64sp:$Rn, VecListTwos:$Vt, VectorIndexS:$idx, XZR) - 2382
28013
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28014
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
28015
329k
    {AliasPatternCond_K_Ignore, 0},
28016
329k
    {AliasPatternCond_K_Ignore, 0},
28017
329k
    {AliasPatternCond_K_Ignore, 0},
28018
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28019
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28020
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28021
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28022
    // (LD2i64_POST GPR64sp:$Rn, VecListTwod:$Vt, VectorIndexD:$idx, XZR) - 2391
28023
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28024
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
28025
329k
    {AliasPatternCond_K_Ignore, 0},
28026
329k
    {AliasPatternCond_K_Ignore, 0},
28027
329k
    {AliasPatternCond_K_Ignore, 0},
28028
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28029
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28030
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28031
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28032
    // (LD2i8_POST GPR64sp:$Rn, VecListTwob:$Vt, VectorIndexB:$idx, XZR) - 2400
28033
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28034
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
28035
329k
    {AliasPatternCond_K_Ignore, 0},
28036
329k
    {AliasPatternCond_K_Ignore, 0},
28037
329k
    {AliasPatternCond_K_Ignore, 0},
28038
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28039
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28040
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28041
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28042
    // (LD3B_IMM ZZZ_b:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 2409
28043
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR3RegClassID},
28044
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28045
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28046
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28047
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28048
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28049
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
28050
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28051
    // (LD3D_IMM ZZZ_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 2417
28052
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR3RegClassID},
28053
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28054
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28055
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28056
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28057
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28058
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
28059
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28060
    // (LD3H_IMM ZZZ_h:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 2425
28061
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR3RegClassID},
28062
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28063
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28064
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28065
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28066
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28067
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
28068
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28069
    // (LD3Q_IMM ZZZ_q:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 2433
28070
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR3RegClassID},
28071
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28072
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28073
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28074
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28075
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2p1},
28076
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
28077
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28078
    // (LD3Rv16b_POST GPR64sp:$Rn, VecListThree16b:$Vt, XZR) - 2441
28079
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28080
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
28081
329k
    {AliasPatternCond_K_Ignore, 0},
28082
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28083
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28084
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28085
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28086
    // (LD3Rv1d_POST GPR64sp:$Rn, VecListThree1d:$Vt, XZR) - 2448
28087
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28088
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDRegClassID},
28089
329k
    {AliasPatternCond_K_Ignore, 0},
28090
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28091
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28092
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28093
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28094
    // (LD3Rv2d_POST GPR64sp:$Rn, VecListThree2d:$Vt, XZR) - 2455
28095
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28096
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
28097
329k
    {AliasPatternCond_K_Ignore, 0},
28098
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28099
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28100
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28101
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28102
    // (LD3Rv2s_POST GPR64sp:$Rn, VecListThree2s:$Vt, XZR) - 2462
28103
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28104
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDRegClassID},
28105
329k
    {AliasPatternCond_K_Ignore, 0},
28106
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28107
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28108
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28109
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28110
    // (LD3Rv4h_POST GPR64sp:$Rn, VecListThree4h:$Vt, XZR) - 2469
28111
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28112
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDRegClassID},
28113
329k
    {AliasPatternCond_K_Ignore, 0},
28114
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28115
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28116
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28117
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28118
    // (LD3Rv4s_POST GPR64sp:$Rn, VecListThree4s:$Vt, XZR) - 2476
28119
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28120
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
28121
329k
    {AliasPatternCond_K_Ignore, 0},
28122
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28123
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28124
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28125
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28126
    // (LD3Rv8b_POST GPR64sp:$Rn, VecListThree8b:$Vt, XZR) - 2483
28127
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28128
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDRegClassID},
28129
329k
    {AliasPatternCond_K_Ignore, 0},
28130
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28131
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28132
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28133
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28134
    // (LD3Rv8h_POST GPR64sp:$Rn, VecListThree8h:$Vt, XZR) - 2490
28135
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28136
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
28137
329k
    {AliasPatternCond_K_Ignore, 0},
28138
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28139
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28140
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28141
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28142
    // (LD3Threev16b_POST GPR64sp:$Rn, VecListThree16b:$Vt, XZR) - 2497
28143
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28144
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
28145
329k
    {AliasPatternCond_K_Ignore, 0},
28146
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28147
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28148
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28149
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28150
    // (LD3Threev2d_POST GPR64sp:$Rn, VecListThree2d:$Vt, XZR) - 2504
28151
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28152
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
28153
329k
    {AliasPatternCond_K_Ignore, 0},
28154
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28155
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28156
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28157
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28158
    // (LD3Threev2s_POST GPR64sp:$Rn, VecListThree2s:$Vt, XZR) - 2511
28159
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28160
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDRegClassID},
28161
329k
    {AliasPatternCond_K_Ignore, 0},
28162
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28163
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28164
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28165
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28166
    // (LD3Threev4h_POST GPR64sp:$Rn, VecListThree4h:$Vt, XZR) - 2518
28167
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28168
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDRegClassID},
28169
329k
    {AliasPatternCond_K_Ignore, 0},
28170
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28171
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28172
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28173
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28174
    // (LD3Threev4s_POST GPR64sp:$Rn, VecListThree4s:$Vt, XZR) - 2525
28175
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28176
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
28177
329k
    {AliasPatternCond_K_Ignore, 0},
28178
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28179
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28180
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28181
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28182
    // (LD3Threev8b_POST GPR64sp:$Rn, VecListThree8b:$Vt, XZR) - 2532
28183
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28184
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDRegClassID},
28185
329k
    {AliasPatternCond_K_Ignore, 0},
28186
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28187
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28188
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28189
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28190
    // (LD3Threev8h_POST GPR64sp:$Rn, VecListThree8h:$Vt, XZR) - 2539
28191
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28192
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
28193
329k
    {AliasPatternCond_K_Ignore, 0},
28194
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28195
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28196
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28197
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28198
    // (LD3W_IMM ZZZ_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 2546
28199
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR3RegClassID},
28200
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28201
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28202
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28203
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28204
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28205
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
28206
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28207
    // (LD3i16_POST GPR64sp:$Rn, VecListThreeh:$Vt, VectorIndexH:$idx, XZR) - 2554
28208
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28209
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
28210
329k
    {AliasPatternCond_K_Ignore, 0},
28211
329k
    {AliasPatternCond_K_Ignore, 0},
28212
329k
    {AliasPatternCond_K_Ignore, 0},
28213
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28214
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28215
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28216
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28217
    // (LD3i32_POST GPR64sp:$Rn, VecListThrees:$Vt, VectorIndexS:$idx, XZR) - 2563
28218
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28219
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
28220
329k
    {AliasPatternCond_K_Ignore, 0},
28221
329k
    {AliasPatternCond_K_Ignore, 0},
28222
329k
    {AliasPatternCond_K_Ignore, 0},
28223
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28224
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28225
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28226
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28227
    // (LD3i64_POST GPR64sp:$Rn, VecListThreed:$Vt, VectorIndexD:$idx, XZR) - 2572
28228
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28229
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
28230
329k
    {AliasPatternCond_K_Ignore, 0},
28231
329k
    {AliasPatternCond_K_Ignore, 0},
28232
329k
    {AliasPatternCond_K_Ignore, 0},
28233
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28234
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28235
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28236
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28237
    // (LD3i8_POST GPR64sp:$Rn, VecListThreeb:$Vt, VectorIndexB:$idx, XZR) - 2581
28238
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28239
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
28240
329k
    {AliasPatternCond_K_Ignore, 0},
28241
329k
    {AliasPatternCond_K_Ignore, 0},
28242
329k
    {AliasPatternCond_K_Ignore, 0},
28243
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28244
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28245
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28246
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28247
    // (LD4B_IMM ZZZZ_b:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 2590
28248
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4RegClassID},
28249
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28250
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28251
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28252
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28253
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28254
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
28255
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28256
    // (LD4D_IMM ZZZZ_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 2598
28257
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4RegClassID},
28258
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28259
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28260
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28261
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28262
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28263
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
28264
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28265
    // (LD4Fourv16b_POST GPR64sp:$Rn, VecListFour16b:$Vt, XZR) - 2606
28266
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28267
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
28268
329k
    {AliasPatternCond_K_Ignore, 0},
28269
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28270
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28271
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28272
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28273
    // (LD4Fourv2d_POST GPR64sp:$Rn, VecListFour2d:$Vt, XZR) - 2613
28274
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28275
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
28276
329k
    {AliasPatternCond_K_Ignore, 0},
28277
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28278
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28279
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28280
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28281
    // (LD4Fourv2s_POST GPR64sp:$Rn, VecListFour2s:$Vt, XZR) - 2620
28282
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28283
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDDRegClassID},
28284
329k
    {AliasPatternCond_K_Ignore, 0},
28285
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28286
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28287
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28288
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28289
    // (LD4Fourv4h_POST GPR64sp:$Rn, VecListFour4h:$Vt, XZR) - 2627
28290
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28291
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDDRegClassID},
28292
329k
    {AliasPatternCond_K_Ignore, 0},
28293
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28294
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28295
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28296
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28297
    // (LD4Fourv4s_POST GPR64sp:$Rn, VecListFour4s:$Vt, XZR) - 2634
28298
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28299
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
28300
329k
    {AliasPatternCond_K_Ignore, 0},
28301
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28302
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28303
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28304
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28305
    // (LD4Fourv8b_POST GPR64sp:$Rn, VecListFour8b:$Vt, XZR) - 2641
28306
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28307
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDDRegClassID},
28308
329k
    {AliasPatternCond_K_Ignore, 0},
28309
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28310
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28311
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28312
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28313
    // (LD4Fourv8h_POST GPR64sp:$Rn, VecListFour8h:$Vt, XZR) - 2648
28314
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28315
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
28316
329k
    {AliasPatternCond_K_Ignore, 0},
28317
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28318
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28319
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28320
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28321
    // (LD4H_IMM ZZZZ_h:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 2655
28322
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4RegClassID},
28323
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28324
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28325
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28326
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28327
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28328
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
28329
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28330
    // (LD4Q_IMM ZZZZ_q:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 2663
28331
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4RegClassID},
28332
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28333
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28334
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28335
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28336
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2p1},
28337
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
28338
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28339
    // (LD4Rv16b_POST GPR64sp:$Rn, VecListFour16b:$Vt, XZR) - 2671
28340
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28341
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
28342
329k
    {AliasPatternCond_K_Ignore, 0},
28343
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28344
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28345
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28346
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28347
    // (LD4Rv1d_POST GPR64sp:$Rn, VecListFour1d:$Vt, XZR) - 2678
28348
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28349
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDDRegClassID},
28350
329k
    {AliasPatternCond_K_Ignore, 0},
28351
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28352
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28353
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28354
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28355
    // (LD4Rv2d_POST GPR64sp:$Rn, VecListFour2d:$Vt, XZR) - 2685
28356
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28357
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
28358
329k
    {AliasPatternCond_K_Ignore, 0},
28359
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28360
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28361
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28362
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28363
    // (LD4Rv2s_POST GPR64sp:$Rn, VecListFour2s:$Vt, XZR) - 2692
28364
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28365
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDDRegClassID},
28366
329k
    {AliasPatternCond_K_Ignore, 0},
28367
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28368
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28369
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28370
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28371
    // (LD4Rv4h_POST GPR64sp:$Rn, VecListFour4h:$Vt, XZR) - 2699
28372
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28373
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDDRegClassID},
28374
329k
    {AliasPatternCond_K_Ignore, 0},
28375
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28376
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28377
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28378
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28379
    // (LD4Rv4s_POST GPR64sp:$Rn, VecListFour4s:$Vt, XZR) - 2706
28380
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28381
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
28382
329k
    {AliasPatternCond_K_Ignore, 0},
28383
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28384
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28385
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28386
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28387
    // (LD4Rv8b_POST GPR64sp:$Rn, VecListFour8b:$Vt, XZR) - 2713
28388
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28389
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDDRegClassID},
28390
329k
    {AliasPatternCond_K_Ignore, 0},
28391
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28392
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28393
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28394
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28395
    // (LD4Rv8h_POST GPR64sp:$Rn, VecListFour8h:$Vt, XZR) - 2720
28396
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28397
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
28398
329k
    {AliasPatternCond_K_Ignore, 0},
28399
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28400
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28401
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28402
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28403
    // (LD4W_IMM ZZZZ_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 2727
28404
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4RegClassID},
28405
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28406
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28407
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28408
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28409
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28410
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
28411
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28412
    // (LD4i16_POST GPR64sp:$Rn, VecListFourh:$Vt, VectorIndexH:$idx, XZR) - 2735
28413
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28414
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
28415
329k
    {AliasPatternCond_K_Ignore, 0},
28416
329k
    {AliasPatternCond_K_Ignore, 0},
28417
329k
    {AliasPatternCond_K_Ignore, 0},
28418
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28419
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28420
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28421
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28422
    // (LD4i32_POST GPR64sp:$Rn, VecListFours:$Vt, VectorIndexS:$idx, XZR) - 2744
28423
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28424
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
28425
329k
    {AliasPatternCond_K_Ignore, 0},
28426
329k
    {AliasPatternCond_K_Ignore, 0},
28427
329k
    {AliasPatternCond_K_Ignore, 0},
28428
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28429
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28430
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28431
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28432
    // (LD4i64_POST GPR64sp:$Rn, VecListFourd:$Vt, VectorIndexD:$idx, XZR) - 2753
28433
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28434
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
28435
329k
    {AliasPatternCond_K_Ignore, 0},
28436
329k
    {AliasPatternCond_K_Ignore, 0},
28437
329k
    {AliasPatternCond_K_Ignore, 0},
28438
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28439
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28440
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28441
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28442
    // (LD4i8_POST GPR64sp:$Rn, VecListFourb:$Vt, VectorIndexB:$idx, XZR) - 2762
28443
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28444
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
28445
329k
    {AliasPatternCond_K_Ignore, 0},
28446
329k
    {AliasPatternCond_K_Ignore, 0},
28447
329k
    {AliasPatternCond_K_Ignore, 0},
28448
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28449
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28450
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28451
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28452
    // (LDADDB WZR, GPR32:$Rs, GPR64sp:$Rn) - 2771
28453
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
28454
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
28455
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28456
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28457
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
28458
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28459
    // (LDADDH WZR, GPR32:$Rs, GPR64sp:$Rn) - 2777
28460
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
28461
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
28462
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28463
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28464
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
28465
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28466
    // (LDADDLB WZR, GPR32:$Rs, GPR64sp:$Rn) - 2783
28467
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
28468
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
28469
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28470
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28471
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
28472
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28473
    // (LDADDLH WZR, GPR32:$Rs, GPR64sp:$Rn) - 2789
28474
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
28475
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
28476
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28477
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28478
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
28479
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28480
    // (LDADDLW WZR, GPR32:$Rs, GPR64sp:$Rn) - 2795
28481
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
28482
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
28483
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28484
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28485
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
28486
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28487
    // (LDADDLX XZR, GPR64:$Rs, GPR64sp:$Rn) - 2801
28488
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28489
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
28490
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28491
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28492
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
28493
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28494
    // (LDADDW WZR, GPR32:$Rs, GPR64sp:$Rn) - 2807
28495
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
28496
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
28497
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28498
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28499
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
28500
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28501
    // (LDADDX XZR, GPR64:$Rs, GPR64sp:$Rn) - 2813
28502
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28503
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
28504
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28505
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28506
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
28507
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28508
    // (LDAPURBi GPR32:$Rt, GPR64sp:$Rn, 0) - 2819
28509
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
28510
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28511
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28512
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28513
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureRCPC_IMMO},
28514
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28515
    // (LDAPURHi GPR32:$Rt, GPR64sp:$Rn, 0) - 2825
28516
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
28517
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28518
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28519
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28520
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureRCPC_IMMO},
28521
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28522
    // (LDAPURSBWi GPR32:$Rt, GPR64sp:$Rn, 0) - 2831
28523
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
28524
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28525
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28526
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28527
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureRCPC_IMMO},
28528
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28529
    // (LDAPURSBXi GPR64:$Rt, GPR64sp:$Rn, 0) - 2837
28530
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
28531
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28532
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28533
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28534
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureRCPC_IMMO},
28535
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28536
    // (LDAPURSHWi GPR32:$Rt, GPR64sp:$Rn, 0) - 2843
28537
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
28538
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28539
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28540
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28541
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureRCPC_IMMO},
28542
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28543
    // (LDAPURSHXi GPR64:$Rt, GPR64sp:$Rn, 0) - 2849
28544
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
28545
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28546
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28547
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28548
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureRCPC_IMMO},
28549
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28550
    // (LDAPURSWi GPR64:$Rt, GPR64sp:$Rn, 0) - 2855
28551
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
28552
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28553
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28554
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28555
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureRCPC_IMMO},
28556
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28557
    // (LDAPURXi GPR64:$Rt, GPR64sp:$Rn, 0) - 2861
28558
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
28559
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28560
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28561
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28562
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureRCPC_IMMO},
28563
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28564
    // (LDAPURbi FPR8:$Rt, GPR64sp:$Rn, 0) - 2867
28565
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR8RegClassID},
28566
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28567
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28568
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28569
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureRCPC3},
28570
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28571
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28572
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28573
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28574
    // (LDAPURdi FPR64:$Rt, GPR64sp:$Rn, 0) - 2876
28575
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
28576
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28577
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28578
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28579
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureRCPC3},
28580
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28581
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28582
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28583
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28584
    // (LDAPURhi FPR16:$Rt, GPR64sp:$Rn, 0) - 2885
28585
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR16RegClassID},
28586
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28587
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28588
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28589
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureRCPC3},
28590
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28591
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28592
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28593
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28594
    // (LDAPURi GPR32:$Rt, GPR64sp:$Rn, 0) - 2894
28595
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
28596
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28597
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28598
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28599
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureRCPC_IMMO},
28600
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28601
    // (LDAPURqi FPR128:$Rt, GPR64sp:$Rn, 0) - 2900
28602
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
28603
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28604
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28605
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28606
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureRCPC3},
28607
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28608
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28609
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28610
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28611
    // (LDAPURsi FPR32:$Rt, GPR64sp:$Rn, 0) - 2909
28612
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR32RegClassID},
28613
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28614
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28615
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28616
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureRCPC3},
28617
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28618
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28619
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
28620
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28621
    // (LDCLRB WZR, GPR32:$Rs, GPR64sp:$Rn) - 2918
28622
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
28623
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
28624
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28625
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28626
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
28627
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28628
    // (LDCLRH WZR, GPR32:$Rs, GPR64sp:$Rn) - 2924
28629
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
28630
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
28631
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28632
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28633
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
28634
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28635
    // (LDCLRLB WZR, GPR32:$Rs, GPR64sp:$Rn) - 2930
28636
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
28637
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
28638
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28639
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28640
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
28641
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28642
    // (LDCLRLH WZR, GPR32:$Rs, GPR64sp:$Rn) - 2936
28643
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
28644
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
28645
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28646
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28647
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
28648
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28649
    // (LDCLRLW WZR, GPR32:$Rs, GPR64sp:$Rn) - 2942
28650
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
28651
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
28652
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28653
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28654
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
28655
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28656
    // (LDCLRLX XZR, GPR64:$Rs, GPR64sp:$Rn) - 2948
28657
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28658
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
28659
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28660
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28661
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
28662
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28663
    // (LDCLRW WZR, GPR32:$Rs, GPR64sp:$Rn) - 2954
28664
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
28665
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
28666
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28667
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28668
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
28669
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28670
    // (LDCLRX XZR, GPR64:$Rs, GPR64sp:$Rn) - 2960
28671
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28672
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
28673
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28674
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28675
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
28676
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28677
    // (LDEORB WZR, GPR32:$Rs, GPR64sp:$Rn) - 2966
28678
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
28679
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
28680
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28681
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28682
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
28683
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28684
    // (LDEORH WZR, GPR32:$Rs, GPR64sp:$Rn) - 2972
28685
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
28686
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
28687
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28688
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28689
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
28690
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28691
    // (LDEORLB WZR, GPR32:$Rs, GPR64sp:$Rn) - 2978
28692
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
28693
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
28694
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28695
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28696
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
28697
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28698
    // (LDEORLH WZR, GPR32:$Rs, GPR64sp:$Rn) - 2984
28699
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
28700
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
28701
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28702
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28703
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
28704
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28705
    // (LDEORLW WZR, GPR32:$Rs, GPR64sp:$Rn) - 2990
28706
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
28707
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
28708
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28709
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28710
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
28711
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28712
    // (LDEORLX XZR, GPR64:$Rs, GPR64sp:$Rn) - 2996
28713
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28714
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
28715
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28716
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28717
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
28718
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28719
    // (LDEORW WZR, GPR32:$Rs, GPR64sp:$Rn) - 3002
28720
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
28721
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
28722
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28723
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28724
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
28725
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28726
    // (LDEORX XZR, GPR64:$Rs, GPR64sp:$Rn) - 3008
28727
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28728
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
28729
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28730
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28731
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
28732
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28733
    // (LDFF1B_D_REAL Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 3014
28734
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28735
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28736
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28737
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28738
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28739
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28740
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28741
    // (LDFF1B_H_REAL Z_h:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 3021
28742
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28743
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28744
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28745
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28746
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28747
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28748
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28749
    // (LDFF1B_REAL Z_b:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 3028
28750
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28751
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28752
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28753
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28754
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28755
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28756
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28757
    // (LDFF1B_S_REAL Z_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 3035
28758
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28759
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28760
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28761
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28762
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28763
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28764
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28765
    // (LDFF1D_REAL Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 3042
28766
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28767
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28768
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28769
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28770
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28771
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28772
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28773
    // (LDFF1H_D_REAL Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 3049
28774
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28775
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28776
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28777
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28778
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28779
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28780
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28781
    // (LDFF1H_REAL Z_h:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 3056
28782
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28783
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28784
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28785
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28786
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28787
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28788
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28789
    // (LDFF1H_S_REAL Z_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 3063
28790
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28791
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28792
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28793
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28794
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28795
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28796
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28797
    // (LDFF1SB_D_REAL Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 3070
28798
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28799
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28800
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28801
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28802
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28803
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28804
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28805
    // (LDFF1SB_H_REAL Z_h:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 3077
28806
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28807
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28808
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28809
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28810
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28811
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28812
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28813
    // (LDFF1SB_S_REAL Z_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 3084
28814
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28815
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28816
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28817
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28818
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28819
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28820
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28821
    // (LDFF1SH_D_REAL Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 3091
28822
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28823
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28824
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28825
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28826
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28827
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28828
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28829
    // (LDFF1SH_S_REAL Z_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 3098
28830
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28831
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28832
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28833
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28834
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28835
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28836
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28837
    // (LDFF1SW_D_REAL Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 3105
28838
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28839
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28840
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28841
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28842
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28843
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28844
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28845
    // (LDFF1W_D_REAL Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 3112
28846
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28847
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28848
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28849
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28850
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28851
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28852
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28853
    // (LDFF1W_REAL Z_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 3119
28854
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28855
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28856
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28857
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
28858
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28859
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28860
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28861
    // (LDG GPR64:$Rt, GPR64sp:$Rn, 0) - 3126
28862
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
28863
329k
    {AliasPatternCond_K_Ignore, 0},
28864
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28865
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28866
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28867
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureMTE},
28868
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28869
    // (LDNF1B_D_IMM_REAL Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 3133
28870
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28871
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28872
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28873
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28874
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28875
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28876
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28877
    // (LDNF1B_H_IMM_REAL Z_h:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 3140
28878
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28879
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28880
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28881
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28882
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28883
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28884
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28885
    // (LDNF1B_IMM_REAL Z_b:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 3147
28886
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28887
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28888
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28889
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28890
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28891
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28892
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28893
    // (LDNF1B_S_IMM_REAL Z_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 3154
28894
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28895
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28896
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28897
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28898
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28899
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28900
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28901
    // (LDNF1D_IMM_REAL Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 3161
28902
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28903
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28904
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28905
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28906
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28907
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28908
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28909
    // (LDNF1H_D_IMM_REAL Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 3168
28910
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28911
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28912
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28913
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28914
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28915
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28916
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28917
    // (LDNF1H_IMM_REAL Z_h:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 3175
28918
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28919
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28920
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28921
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28922
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28923
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28924
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28925
    // (LDNF1H_S_IMM_REAL Z_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 3182
28926
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28927
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28928
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28929
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28930
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28931
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28932
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28933
    // (LDNF1SB_D_IMM_REAL Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 3189
28934
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28935
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28936
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28937
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28938
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28939
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28940
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28941
    // (LDNF1SB_H_IMM_REAL Z_h:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 3196
28942
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28943
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28944
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28945
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28946
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28947
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28948
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28949
    // (LDNF1SB_S_IMM_REAL Z_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 3203
28950
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28951
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28952
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28953
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28954
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28955
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28956
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28957
    // (LDNF1SH_D_IMM_REAL Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 3210
28958
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28959
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28960
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28961
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28962
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28963
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28964
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28965
    // (LDNF1SH_S_IMM_REAL Z_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 3217
28966
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28967
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28968
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28969
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28970
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28971
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28972
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28973
    // (LDNF1SW_D_IMM_REAL Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 3224
28974
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28975
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28976
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28977
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28978
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28979
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28980
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28981
    // (LDNF1W_D_IMM_REAL Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 3231
28982
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28983
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28984
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28985
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28986
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28987
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28988
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28989
    // (LDNF1W_IMM_REAL Z_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 3238
28990
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
28991
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
28992
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
28993
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
28994
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
28995
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
28996
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
28997
    // (LDNPDi FPR64Op:$Rt, FPR64Op:$Rt2, GPR64sp:$Rn, 0) - 3245
28998
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
28999
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
29000
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29001
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29002
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29003
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
29004
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29005
    // (LDNPQi FPR128Op:$Rt, FPR128Op:$Rt2, GPR64sp:$Rn, 0) - 3252
29006
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
29007
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
29008
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29009
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29010
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29011
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
29012
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29013
    // (LDNPSi FPR32Op:$Rt, FPR32Op:$Rt2, GPR64sp:$Rn, 0) - 3259
29014
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR32RegClassID},
29015
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR32RegClassID},
29016
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29017
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29018
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29019
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
29020
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29021
    // (LDNPWi GPR32z:$Rt, GPR32z:$Rt2, GPR64sp:$Rn, 0) - 3266
29022
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29023
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29024
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29025
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29026
    // (LDNPXi GPR64z:$Rt, GPR64z:$Rt2, GPR64sp:$Rn, 0) - 3270
29027
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29028
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29029
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29030
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29031
    // (LDNT1B_2Z_IMM ZZ_b_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 3274
29032
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
29033
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
29034
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29035
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29036
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29037
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
29038
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
29039
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29040
    // (LDNT1B_2Z_STRIDED_IMM ZZ_b_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 3282
29041
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2StridedRegClassID},
29042
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
29043
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29044
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29045
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29046
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
29047
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29048
    // (LDNT1B_4Z_IMM ZZZZ_b_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 3289
29049
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
29050
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
29051
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29052
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29053
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29054
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
29055
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
29056
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29057
    // (LDNT1B_4Z_STRIDED_IMM ZZZZ_b_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 3297
29058
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4StridedRegClassID},
29059
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
29060
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29061
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29062
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29063
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
29064
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29065
    // (LDNT1B_ZRI Z_b:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 3304
29066
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29067
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
29068
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29069
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29070
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29071
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
29072
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
29073
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29074
    // (LDNT1B_ZZR_D_REAL Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, XZR) - 3312
29075
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29076
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
29077
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29078
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
29079
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29080
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2},
29081
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29082
    // (LDNT1B_ZZR_S_REAL Z_s:$Zt, PPR3bAny:$Pg, ZPR32:$Zn, XZR) - 3319
29083
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29084
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
29085
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29086
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
29087
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29088
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2},
29089
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29090
    // (LDNT1D_2Z_IMM ZZ_d_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 3326
29091
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
29092
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
29093
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29094
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29095
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29096
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
29097
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
29098
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29099
    // (LDNT1D_2Z_STRIDED_IMM ZZ_d_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 3334
29100
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2StridedRegClassID},
29101
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
29102
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29103
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29104
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29105
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
29106
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29107
    // (LDNT1D_4Z_IMM ZZZZ_d_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 3341
29108
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
29109
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
29110
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29111
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29112
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29113
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
29114
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
29115
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29116
    // (LDNT1D_4Z_STRIDED_IMM ZZZZ_d_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 3349
29117
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4StridedRegClassID},
29118
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
29119
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29120
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29121
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29122
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
29123
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29124
    // (LDNT1D_ZRI Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 3356
29125
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29126
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
29127
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29128
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29129
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29130
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
29131
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
29132
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29133
    // (LDNT1D_ZZR_D_REAL Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, XZR) - 3364
29134
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29135
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
29136
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29137
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
29138
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29139
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2},
29140
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29141
    // (LDNT1H_2Z_IMM ZZ_h_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 3371
29142
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
29143
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
29144
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29145
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29146
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29147
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
29148
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
29149
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29150
    // (LDNT1H_2Z_STRIDED_IMM ZZ_h_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 3379
29151
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2StridedRegClassID},
29152
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
29153
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29154
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29155
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29156
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
29157
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29158
    // (LDNT1H_4Z_IMM ZZZZ_h_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 3386
29159
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
29160
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
29161
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29162
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29163
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29164
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
29165
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
29166
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29167
    // (LDNT1H_4Z_STRIDED_IMM ZZZZ_h_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 3394
29168
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4StridedRegClassID},
29169
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
29170
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29171
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29172
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29173
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
29174
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29175
    // (LDNT1H_ZRI Z_h:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 3401
29176
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29177
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
29178
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29179
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29180
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29181
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
29182
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
29183
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29184
    // (LDNT1H_ZZR_D_REAL Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, XZR) - 3409
29185
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29186
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
29187
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29188
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
29189
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29190
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2},
29191
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29192
    // (LDNT1H_ZZR_S_REAL Z_s:$Zt, PPR3bAny:$Pg, ZPR32:$Zn, XZR) - 3416
29193
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29194
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
29195
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29196
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
29197
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29198
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2},
29199
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29200
    // (LDNT1SB_ZZR_D_REAL Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, XZR) - 3423
29201
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29202
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
29203
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29204
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
29205
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29206
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2},
29207
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29208
    // (LDNT1SB_ZZR_S_REAL Z_s:$Zt, PPR3bAny:$Pg, ZPR32:$Zn, XZR) - 3430
29209
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29210
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
29211
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29212
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
29213
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29214
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2},
29215
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29216
    // (LDNT1SH_ZZR_D_REAL Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, XZR) - 3437
29217
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29218
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
29219
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29220
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
29221
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29222
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2},
29223
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29224
    // (LDNT1SH_ZZR_S_REAL Z_s:$Zt, PPR3bAny:$Pg, ZPR32:$Zn, XZR) - 3444
29225
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29226
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
29227
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29228
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
29229
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29230
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2},
29231
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29232
    // (LDNT1SW_ZZR_D_REAL Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, XZR) - 3451
29233
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29234
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
29235
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29236
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
29237
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29238
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2},
29239
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29240
    // (LDNT1W_2Z_IMM ZZ_s_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 3458
29241
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
29242
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
29243
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29244
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29245
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29246
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
29247
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
29248
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29249
    // (LDNT1W_2Z_STRIDED_IMM ZZ_s_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 3466
29250
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2StridedRegClassID},
29251
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
29252
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29253
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29254
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29255
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
29256
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29257
    // (LDNT1W_4Z_IMM ZZZZ_s_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 3473
29258
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
29259
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
29260
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29261
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29262
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29263
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
29264
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
29265
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29266
    // (LDNT1W_4Z_STRIDED_IMM ZZZZ_s_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 3481
29267
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4StridedRegClassID},
29268
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
29269
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29270
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29271
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29272
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
29273
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29274
    // (LDNT1W_ZRI Z_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 3488
29275
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29276
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
29277
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29278
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29279
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29280
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
29281
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
29282
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29283
    // (LDNT1W_ZZR_D_REAL Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, XZR) - 3496
29284
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29285
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
29286
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29287
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
29288
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29289
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2},
29290
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29291
    // (LDNT1W_ZZR_S_REAL Z_s:$Zt, PPR3bAny:$Pg, ZPR32:$Zn, XZR) - 3503
29292
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29293
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
29294
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29295
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
29296
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29297
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2},
29298
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29299
    // (LDPDi FPR64Op:$Rt, FPR64Op:$Rt2, GPR64sp:$Rn, 0) - 3510
29300
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
29301
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
29302
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29303
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29304
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29305
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
29306
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29307
    // (LDPQi FPR128Op:$Rt, FPR128Op:$Rt2, GPR64sp:$Rn, 0) - 3517
29308
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
29309
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
29310
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29311
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29312
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29313
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
29314
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29315
    // (LDPSWi GPR64z:$Rt, GPR64z:$Rt2, GPR64sp:$Rn, 0) - 3524
29316
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29317
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29318
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29319
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29320
    // (LDPSi FPR32Op:$Rt, FPR32Op:$Rt2, GPR64sp:$Rn, 0) - 3528
29321
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR32RegClassID},
29322
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR32RegClassID},
29323
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29324
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29325
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29326
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
29327
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29328
    // (LDPWi GPR32z:$Rt, GPR32z:$Rt2, GPR64sp:$Rn, 0) - 3535
29329
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29330
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29331
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29332
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29333
    // (LDPXi GPR64z:$Rt, GPR64z:$Rt2, GPR64sp:$Rn, 0) - 3539
29334
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29335
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29336
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29337
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29338
    // (LDRAAindexed GPR64:$Rt, GPR64sp:$Rn, 0) - 3543
29339
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29340
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29341
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29342
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29343
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeaturePAuth},
29344
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29345
    // (LDRABindexed GPR64:$Rt, GPR64sp:$Rn, 0) - 3549
29346
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29347
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29348
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29349
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29350
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeaturePAuth},
29351
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29352
    // (LDRBBroX GPR32:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0) - 3555
29353
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29354
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29355
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29356
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29357
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29358
    // (LDRBBui GPR32:$Rt, GPR64sp:$Rn, 0) - 3560
29359
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29360
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29361
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29362
    // (LDRBroX FPR8Op:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0) - 3563
29363
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR8RegClassID},
29364
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29365
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29366
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29367
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29368
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29369
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
29370
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29371
    // (LDRBui FPR8Op:$Rt, GPR64sp:$Rn, 0) - 3571
29372
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR8RegClassID},
29373
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29374
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29375
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29376
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
29377
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29378
    // (LDRDroX FPR64Op:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0) - 3577
29379
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
29380
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29381
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29382
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29383
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29384
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29385
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
29386
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29387
    // (LDRDui FPR64Op:$Rt, GPR64sp:$Rn, 0) - 3585
29388
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
29389
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29390
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29391
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29392
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
29393
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29394
    // (LDRHHroX GPR32:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0) - 3591
29395
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29396
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29397
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29398
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29399
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29400
    // (LDRHHui GPR32:$Rt, GPR64sp:$Rn, 0) - 3596
29401
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29402
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29403
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29404
    // (LDRHroX FPR16Op:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0) - 3599
29405
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR16RegClassID},
29406
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29407
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29408
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29409
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29410
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29411
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
29412
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29413
    // (LDRHui FPR16Op:$Rt, GPR64sp:$Rn, 0) - 3607
29414
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR16RegClassID},
29415
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29416
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29417
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29418
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
29419
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29420
    // (LDRQroX FPR128Op:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0) - 3613
29421
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
29422
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29423
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29424
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29425
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29426
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29427
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
29428
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29429
    // (LDRQui FPR128Op:$Rt, GPR64sp:$Rn, 0) - 3621
29430
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
29431
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29432
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29433
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29434
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
29435
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29436
    // (LDRSBWroX GPR32:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0) - 3627
29437
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29438
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29439
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29440
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29441
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29442
    // (LDRSBWui GPR32:$Rt, GPR64sp:$Rn, 0) - 3632
29443
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29444
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29445
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29446
    // (LDRSBXroX GPR64:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0) - 3635
29447
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29448
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29449
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29450
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29451
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29452
    // (LDRSBXui GPR64:$Rt, GPR64sp:$Rn, 0) - 3640
29453
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29454
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29455
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29456
    // (LDRSHWroX GPR32:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0) - 3643
29457
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29458
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29459
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29460
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29461
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29462
    // (LDRSHWui GPR32:$Rt, GPR64sp:$Rn, 0) - 3648
29463
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29464
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29465
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29466
    // (LDRSHXroX GPR64:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0) - 3651
29467
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29468
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29469
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29470
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29471
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29472
    // (LDRSHXui GPR64:$Rt, GPR64sp:$Rn, 0) - 3656
29473
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29474
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29475
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29476
    // (LDRSWroX GPR64:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0) - 3659
29477
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29478
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29479
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29480
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29481
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29482
    // (LDRSWui GPR64:$Rt, GPR64sp:$Rn, 0) - 3664
29483
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29484
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29485
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29486
    // (LDRSroX FPR32Op:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0) - 3667
29487
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR32RegClassID},
29488
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29489
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29490
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29491
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29492
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29493
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
29494
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29495
    // (LDRSui FPR32Op:$Rt, GPR64sp:$Rn, 0) - 3675
29496
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR32RegClassID},
29497
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29498
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29499
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29500
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
29501
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29502
    // (LDRWroX GPR32:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0) - 3681
29503
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29504
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29505
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29506
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29507
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29508
    // (LDRWui GPR32z:$Rt, GPR64sp:$Rn, 0) - 3686
29509
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29510
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29511
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29512
    // (LDRXroX GPR64:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0) - 3689
29513
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29514
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29515
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29516
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29517
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29518
    // (LDRXui GPR64z:$Rt, GPR64sp:$Rn, 0) - 3694
29519
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29520
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29521
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29522
    // (LDR_PXI PPRAny:$Pt, GPR64sp:$Rn, 0) - 3697
29523
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
29524
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29525
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29526
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29527
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
29528
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
29529
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29530
    // (LDR_ZA MatrixOp:$ZAt, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_15:$imm4, GPR64sp:$Rn, 0) - 3704
29531
329k
    {AliasPatternCond_K_RegClass, AArch64_MPRRegClassID},
29532
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
29533
329k
    {AliasPatternCond_K_Ignore, 0},
29534
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29535
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29536
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29537
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
29538
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29539
    // (LDR_ZXI ZPRAny:$Zt, GPR64sp:$Rn, 0) - 3712
29540
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
29541
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29542
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29543
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29544
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
29545
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
29546
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29547
    // (LDSETB WZR, GPR32:$Rs, GPR64sp:$Rn) - 3719
29548
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29549
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29550
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29551
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29552
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29553
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29554
    // (LDSETH WZR, GPR32:$Rs, GPR64sp:$Rn) - 3725
29555
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29556
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29557
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29558
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29559
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29560
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29561
    // (LDSETLB WZR, GPR32:$Rs, GPR64sp:$Rn) - 3731
29562
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29563
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29564
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29565
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29566
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29567
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29568
    // (LDSETLH WZR, GPR32:$Rs, GPR64sp:$Rn) - 3737
29569
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29570
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29571
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29572
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29573
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29574
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29575
    // (LDSETLW WZR, GPR32:$Rs, GPR64sp:$Rn) - 3743
29576
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29577
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29578
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29579
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29580
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29581
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29582
    // (LDSETLX XZR, GPR64:$Rs, GPR64sp:$Rn) - 3749
29583
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
29584
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29585
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29586
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29587
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29588
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29589
    // (LDSETW WZR, GPR32:$Rs, GPR64sp:$Rn) - 3755
29590
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29591
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29592
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29593
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29594
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29595
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29596
    // (LDSETX XZR, GPR64:$Rs, GPR64sp:$Rn) - 3761
29597
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
29598
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29599
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29600
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29601
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29602
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29603
    // (LDSMAXB WZR, GPR32:$Rs, GPR64sp:$Rn) - 3767
29604
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29605
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29606
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29607
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29608
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29609
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29610
    // (LDSMAXH WZR, GPR32:$Rs, GPR64sp:$Rn) - 3773
29611
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29612
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29613
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29614
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29615
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29616
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29617
    // (LDSMAXLB WZR, GPR32:$Rs, GPR64sp:$Rn) - 3779
29618
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29619
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29620
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29621
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29622
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29623
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29624
    // (LDSMAXLH WZR, GPR32:$Rs, GPR64sp:$Rn) - 3785
29625
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29626
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29627
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29628
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29629
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29630
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29631
    // (LDSMAXLW WZR, GPR32:$Rs, GPR64sp:$Rn) - 3791
29632
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29633
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29634
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29635
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29636
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29637
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29638
    // (LDSMAXLX XZR, GPR64:$Rs, GPR64sp:$Rn) - 3797
29639
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
29640
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29641
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29642
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29643
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29644
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29645
    // (LDSMAXW WZR, GPR32:$Rs, GPR64sp:$Rn) - 3803
29646
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29647
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29648
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29649
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29650
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29651
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29652
    // (LDSMAXX XZR, GPR64:$Rs, GPR64sp:$Rn) - 3809
29653
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
29654
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29655
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29656
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29657
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29658
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29659
    // (LDSMINB WZR, GPR32:$Rs, GPR64sp:$Rn) - 3815
29660
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29661
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29662
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29663
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29664
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29665
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29666
    // (LDSMINH WZR, GPR32:$Rs, GPR64sp:$Rn) - 3821
29667
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29668
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29669
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29670
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29671
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29672
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29673
    // (LDSMINLB WZR, GPR32:$Rs, GPR64sp:$Rn) - 3827
29674
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29675
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29676
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29677
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29678
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29679
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29680
    // (LDSMINLH WZR, GPR32:$Rs, GPR64sp:$Rn) - 3833
29681
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29682
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29683
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29684
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29685
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29686
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29687
    // (LDSMINLW WZR, GPR32:$Rs, GPR64sp:$Rn) - 3839
29688
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29689
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29690
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29691
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29692
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29693
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29694
    // (LDSMINLX XZR, GPR64:$Rs, GPR64sp:$Rn) - 3845
29695
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
29696
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29697
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29698
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29699
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29700
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29701
    // (LDSMINW WZR, GPR32:$Rs, GPR64sp:$Rn) - 3851
29702
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29703
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29704
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29705
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29706
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29707
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29708
    // (LDSMINX XZR, GPR64:$Rs, GPR64sp:$Rn) - 3857
29709
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
29710
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29711
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29712
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29713
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29714
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29715
    // (LDTRBi GPR32:$Rt, GPR64sp:$Rn, 0) - 3863
29716
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29717
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29718
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29719
    // (LDTRHi GPR32:$Rt, GPR64sp:$Rn, 0) - 3866
29720
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29721
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29722
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29723
    // (LDTRSBWi GPR32:$Rt, GPR64sp:$Rn, 0) - 3869
29724
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29725
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29726
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29727
    // (LDTRSBXi GPR64:$Rt, GPR64sp:$Rn, 0) - 3872
29728
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29729
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29730
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29731
    // (LDTRSHWi GPR32:$Rt, GPR64sp:$Rn, 0) - 3875
29732
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29733
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29734
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29735
    // (LDTRSHXi GPR64:$Rt, GPR64sp:$Rn, 0) - 3878
29736
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29737
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29738
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29739
    // (LDTRSWi GPR64:$Rt, GPR64sp:$Rn, 0) - 3881
29740
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29741
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29742
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29743
    // (LDTRWi GPR32:$Rt, GPR64sp:$Rn, 0) - 3884
29744
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29745
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29746
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29747
    // (LDTRXi GPR64:$Rt, GPR64sp:$Rn, 0) - 3887
29748
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29749
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29750
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29751
    // (LDUMAXB WZR, GPR32:$Rs, GPR64sp:$Rn) - 3890
29752
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29753
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29754
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29755
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29756
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29757
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29758
    // (LDUMAXH WZR, GPR32:$Rs, GPR64sp:$Rn) - 3896
29759
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29760
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29761
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29762
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29763
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29764
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29765
    // (LDUMAXLB WZR, GPR32:$Rs, GPR64sp:$Rn) - 3902
29766
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29767
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29768
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29769
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29770
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29771
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29772
    // (LDUMAXLH WZR, GPR32:$Rs, GPR64sp:$Rn) - 3908
29773
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29774
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29775
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29776
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29777
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29778
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29779
    // (LDUMAXLW WZR, GPR32:$Rs, GPR64sp:$Rn) - 3914
29780
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29781
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29782
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29783
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29784
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29785
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29786
    // (LDUMAXLX XZR, GPR64:$Rs, GPR64sp:$Rn) - 3920
29787
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
29788
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29789
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29790
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29791
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29792
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29793
    // (LDUMAXW WZR, GPR32:$Rs, GPR64sp:$Rn) - 3926
29794
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29795
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29796
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29797
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29798
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29799
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29800
    // (LDUMAXX XZR, GPR64:$Rs, GPR64sp:$Rn) - 3932
29801
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
29802
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29803
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29804
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29805
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29806
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29807
    // (LDUMINB WZR, GPR32:$Rs, GPR64sp:$Rn) - 3938
29808
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29809
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29810
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29811
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29812
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29813
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29814
    // (LDUMINH WZR, GPR32:$Rs, GPR64sp:$Rn) - 3944
29815
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29816
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29817
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29818
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29819
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29820
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29821
    // (LDUMINLB WZR, GPR32:$Rs, GPR64sp:$Rn) - 3950
29822
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29823
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29824
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29825
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29826
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29827
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29828
    // (LDUMINLH WZR, GPR32:$Rs, GPR64sp:$Rn) - 3956
29829
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29830
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29831
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29832
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29833
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29834
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29835
    // (LDUMINLW WZR, GPR32:$Rs, GPR64sp:$Rn) - 3962
29836
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29837
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29838
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29839
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29840
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29841
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29842
    // (LDUMINLX XZR, GPR64:$Rs, GPR64sp:$Rn) - 3968
29843
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
29844
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29845
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29846
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29847
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29848
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29849
    // (LDUMINW WZR, GPR32:$Rs, GPR64sp:$Rn) - 3974
29850
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29851
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29852
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29853
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29854
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29855
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29856
    // (LDUMINX XZR, GPR64:$Rs, GPR64sp:$Rn) - 3980
29857
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
29858
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29859
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29860
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29861
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureLSE},
29862
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29863
    // (LDURBBi GPR32:$Rt, GPR64sp:$Rn, 0) - 3986
29864
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29865
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29866
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29867
    // (LDURBi FPR8Op:$Rt, GPR64sp:$Rn, 0) - 3989
29868
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR8RegClassID},
29869
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29870
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29871
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29872
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
29873
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29874
    // (LDURDi FPR64Op:$Rt, GPR64sp:$Rn, 0) - 3995
29875
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
29876
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29877
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29878
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29879
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
29880
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29881
    // (LDURHHi GPR32:$Rt, GPR64sp:$Rn, 0) - 4001
29882
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29883
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29884
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29885
    // (LDURHi FPR16Op:$Rt, GPR64sp:$Rn, 0) - 4004
29886
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR16RegClassID},
29887
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29888
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29889
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29890
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
29891
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29892
    // (LDURQi FPR128Op:$Rt, GPR64sp:$Rn, 0) - 4010
29893
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
29894
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29895
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29896
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29897
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
29898
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29899
    // (LDURSBWi GPR32:$Rt, GPR64sp:$Rn, 0) - 4016
29900
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29901
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29902
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29903
    // (LDURSBXi GPR64:$Rt, GPR64sp:$Rn, 0) - 4019
29904
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29905
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29906
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29907
    // (LDURSHWi GPR32:$Rt, GPR64sp:$Rn, 0) - 4022
29908
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29909
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29910
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29911
    // (LDURSHXi GPR64:$Rt, GPR64sp:$Rn, 0) - 4025
29912
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29913
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29914
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29915
    // (LDURSWi GPR64:$Rt, GPR64sp:$Rn, 0) - 4028
29916
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29917
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29918
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29919
    // (LDURSi FPR32Op:$Rt, GPR64sp:$Rn, 0) - 4031
29920
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR32RegClassID},
29921
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29922
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29923
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29924
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
29925
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29926
    // (LDURWi GPR32z:$Rt, GPR64sp:$Rn, 0) - 4037
29927
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29928
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29929
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29930
    // (LDURXi GPR64z:$Rt, GPR64sp:$Rn, 0) - 4040
29931
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29932
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
29933
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
29934
    // (MADDWrrr GPR32:$dst, GPR32:$src1, GPR32:$src2, WZR) - 4043
29935
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29936
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29937
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
29938
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
29939
    // (MADDXrrr GPR64:$dst, GPR64:$src1, GPR64:$src2, XZR) - 4047
29940
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29941
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29942
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
29943
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
29944
    // (MOVA_2ZMXI_H_B ZZ_b_mul_r:$Zd, TileVectorOpH8:$ZAn, MatrixIndexGPR32Op12_15:$Rs, uimm3s2range:$imm) - 4051
29945
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
29946
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR8RegClassID},
29947
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
29948
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29949
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
29950
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29951
    // (MOVA_2ZMXI_H_D ZZ_d_mul_r:$Zd, TileVectorOpH64:$ZAn, MatrixIndexGPR32Op12_15:$Rs, uimm0s2range:$imm) - 4057
29952
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
29953
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR64RegClassID},
29954
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
29955
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29956
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
29957
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29958
    // (MOVA_2ZMXI_H_H ZZ_h_mul_r:$Zd, TileVectorOpH16:$ZAn, MatrixIndexGPR32Op12_15:$Rs, uimm2s2range:$imm) - 4063
29959
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
29960
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR16RegClassID},
29961
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
29962
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29963
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
29964
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29965
    // (MOVA_2ZMXI_H_S ZZ_s_mul_r:$Zd, TileVectorOpH32:$ZAn, MatrixIndexGPR32Op12_15:$Rs, uimm1s2range:$imm) - 4069
29966
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
29967
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR32RegClassID},
29968
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
29969
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29970
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
29971
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29972
    // (MOVA_2ZMXI_V_B ZZ_b_mul_r:$Zd, TileVectorOpV8:$ZAn, MatrixIndexGPR32Op12_15:$Rs, uimm3s2range:$imm) - 4075
29973
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
29974
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR8RegClassID},
29975
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
29976
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29977
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
29978
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29979
    // (MOVA_2ZMXI_V_D ZZ_d_mul_r:$Zd, TileVectorOpV64:$ZAn, MatrixIndexGPR32Op12_15:$Rs, uimm0s2range:$imm) - 4081
29980
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
29981
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR64RegClassID},
29982
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
29983
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29984
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
29985
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29986
    // (MOVA_2ZMXI_V_H ZZ_h_mul_r:$Zd, TileVectorOpV16:$ZAn, MatrixIndexGPR32Op12_15:$Rs, uimm2s2range:$imm) - 4087
29987
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
29988
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR16RegClassID},
29989
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
29990
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29991
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
29992
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
29993
    // (MOVA_2ZMXI_V_S ZZ_s_mul_r:$Zd, TileVectorOpV32:$ZAn, MatrixIndexGPR32Op12_15:$Rs, uimm1s2range:$imm) - 4093
29994
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
29995
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR32RegClassID},
29996
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
29997
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
29998
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
29999
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30000
    // (MOVA_4ZMXI_H_B ZZZZ_b_mul_r:$Zd, TileVectorOpH8:$ZAn, MatrixIndexGPR32Op12_15:$Rs, uimm2s4range:$imm) - 4099
30001
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
30002
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR8RegClassID},
30003
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
30004
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30005
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30006
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30007
    // (MOVA_4ZMXI_H_D ZZZZ_d_mul_r:$Zd, TileVectorOpH64:$ZAn, MatrixIndexGPR32Op12_15:$Rs, uimm0s4range:$imm) - 4105
30008
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
30009
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR64RegClassID},
30010
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
30011
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30012
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30013
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30014
    // (MOVA_4ZMXI_H_H ZZZZ_h_mul_r:$Zd, TileVectorOpH16:$ZAn, MatrixIndexGPR32Op12_15:$Rs, uimm1s4range:$imm) - 4111
30015
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
30016
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR16RegClassID},
30017
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
30018
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30019
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30020
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30021
    // (MOVA_4ZMXI_H_S ZZZZ_s_mul_r:$Zd, TileVectorOpH32:$ZAn, MatrixIndexGPR32Op12_15:$Rs, uimm0s4range:$imm) - 4117
30022
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
30023
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR32RegClassID},
30024
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
30025
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30026
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30027
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30028
    // (MOVA_4ZMXI_V_B ZZZZ_b_mul_r:$Zd, TileVectorOpV8:$ZAn, MatrixIndexGPR32Op12_15:$Rs, uimm2s4range:$imm) - 4123
30029
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
30030
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR8RegClassID},
30031
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
30032
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30033
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30034
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30035
    // (MOVA_4ZMXI_V_D ZZZZ_d_mul_r:$Zd, TileVectorOpV64:$ZAn, MatrixIndexGPR32Op12_15:$Rs, uimm0s4range:$imm) - 4129
30036
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
30037
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR64RegClassID},
30038
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
30039
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30040
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30041
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30042
    // (MOVA_4ZMXI_V_H ZZZZ_h_mul_r:$Zd, TileVectorOpV16:$ZAn, MatrixIndexGPR32Op12_15:$Rs, uimm1s4range:$imm) - 4135
30043
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
30044
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR16RegClassID},
30045
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
30046
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30047
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30048
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30049
    // (MOVA_4ZMXI_V_S ZZZZ_s_mul_r:$Zd, TileVectorOpV32:$ZAn, MatrixIndexGPR32Op12_15:$Rs, uimm0s4range:$imm) - 4141
30050
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
30051
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR32RegClassID},
30052
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
30053
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30054
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30055
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30056
    // (MOVA_MXI2Z_H_B TileVectorOpH8:$ZAd, MatrixIndexGPR32Op12_15:$Rs, uimm3s2range:$imm, ZZ_b_mul_r:$Zn) - 4147
30057
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR8RegClassID},
30058
329k
    {AliasPatternCond_K_Ignore, 0},
30059
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
30060
329k
    {AliasPatternCond_K_Ignore, 0},
30061
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
30062
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30063
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30064
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30065
    // (MOVA_MXI2Z_H_D TileVectorOpH64:$ZAd, MatrixIndexGPR32Op12_15:$Rs, uimm0s2range:$imm, ZZ_d_mul_r:$Zn) - 4155
30066
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR64RegClassID},
30067
329k
    {AliasPatternCond_K_Ignore, 0},
30068
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
30069
329k
    {AliasPatternCond_K_Ignore, 0},
30070
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
30071
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30072
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30073
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30074
    // (MOVA_MXI2Z_H_H TileVectorOpH16:$ZAd, MatrixIndexGPR32Op12_15:$Rs, uimm2s2range:$imm, ZZ_h_mul_r:$Zn) - 4163
30075
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR16RegClassID},
30076
329k
    {AliasPatternCond_K_Ignore, 0},
30077
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
30078
329k
    {AliasPatternCond_K_Ignore, 0},
30079
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
30080
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30081
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30082
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30083
    // (MOVA_MXI2Z_H_S TileVectorOpH32:$ZAd, MatrixIndexGPR32Op12_15:$Rs, uimm1s2range:$imm, ZZ_s_mul_r:$Zn) - 4171
30084
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR32RegClassID},
30085
329k
    {AliasPatternCond_K_Ignore, 0},
30086
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
30087
329k
    {AliasPatternCond_K_Ignore, 0},
30088
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
30089
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30090
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30091
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30092
    // (MOVA_MXI2Z_V_B TileVectorOpV8:$ZAd, MatrixIndexGPR32Op12_15:$Rs, uimm3s2range:$imm, ZZ_b_mul_r:$Zn) - 4179
30093
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR8RegClassID},
30094
329k
    {AliasPatternCond_K_Ignore, 0},
30095
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
30096
329k
    {AliasPatternCond_K_Ignore, 0},
30097
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
30098
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30099
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30100
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30101
    // (MOVA_MXI2Z_V_D TileVectorOpV64:$ZAd, MatrixIndexGPR32Op12_15:$Rs, uimm0s2range:$imm, ZZ_d_mul_r:$Zn) - 4187
30102
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR64RegClassID},
30103
329k
    {AliasPatternCond_K_Ignore, 0},
30104
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
30105
329k
    {AliasPatternCond_K_Ignore, 0},
30106
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
30107
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30108
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30109
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30110
    // (MOVA_MXI2Z_V_H TileVectorOpV16:$ZAd, MatrixIndexGPR32Op12_15:$Rs, uimm2s2range:$imm, ZZ_h_mul_r:$Zn) - 4195
30111
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR16RegClassID},
30112
329k
    {AliasPatternCond_K_Ignore, 0},
30113
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
30114
329k
    {AliasPatternCond_K_Ignore, 0},
30115
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
30116
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30117
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30118
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30119
    // (MOVA_MXI2Z_V_S TileVectorOpV32:$ZAd, MatrixIndexGPR32Op12_15:$Rs, uimm1s2range:$imm, ZZ_s_mul_r:$Zn) - 4203
30120
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR32RegClassID},
30121
329k
    {AliasPatternCond_K_Ignore, 0},
30122
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
30123
329k
    {AliasPatternCond_K_Ignore, 0},
30124
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
30125
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30126
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30127
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30128
    // (MOVA_MXI4Z_H_B TileVectorOpH8:$ZAd, MatrixIndexGPR32Op12_15:$Rs, uimm2s4range:$imm, ZZZZ_b_mul_r:$Zn) - 4211
30129
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR8RegClassID},
30130
329k
    {AliasPatternCond_K_Ignore, 0},
30131
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
30132
329k
    {AliasPatternCond_K_Ignore, 0},
30133
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
30134
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30135
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30136
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30137
    // (MOVA_MXI4Z_H_D TileVectorOpH64:$ZAd, MatrixIndexGPR32Op12_15:$Rs, uimm0s4range:$imm, ZZZZ_d_mul_r:$Zn) - 4219
30138
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR64RegClassID},
30139
329k
    {AliasPatternCond_K_Ignore, 0},
30140
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
30141
329k
    {AliasPatternCond_K_Ignore, 0},
30142
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
30143
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30144
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30145
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30146
    // (MOVA_MXI4Z_H_H TileVectorOpH16:$ZAd, MatrixIndexGPR32Op12_15:$Rs, uimm1s4range:$imm, ZZZZ_h_mul_r:$Zn) - 4227
30147
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR16RegClassID},
30148
329k
    {AliasPatternCond_K_Ignore, 0},
30149
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
30150
329k
    {AliasPatternCond_K_Ignore, 0},
30151
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
30152
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30153
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30154
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30155
    // (MOVA_MXI4Z_H_S TileVectorOpH32:$ZAd, MatrixIndexGPR32Op12_15:$Rs, uimm0s4range:$imm, ZZZZ_s_mul_r:$Zn) - 4235
30156
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR32RegClassID},
30157
329k
    {AliasPatternCond_K_Ignore, 0},
30158
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
30159
329k
    {AliasPatternCond_K_Ignore, 0},
30160
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
30161
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30162
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30163
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30164
    // (MOVA_MXI4Z_V_B TileVectorOpV8:$ZAd, MatrixIndexGPR32Op12_15:$Rs, uimm2s4range:$imm, ZZZZ_b_mul_r:$Zn) - 4243
30165
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR8RegClassID},
30166
329k
    {AliasPatternCond_K_Ignore, 0},
30167
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
30168
329k
    {AliasPatternCond_K_Ignore, 0},
30169
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
30170
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30171
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30172
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30173
    // (MOVA_MXI4Z_V_D TileVectorOpV64:$ZAd, MatrixIndexGPR32Op12_15:$Rs, uimm0s4range:$imm, ZZZZ_d_mul_r:$Zn) - 4251
30174
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR64RegClassID},
30175
329k
    {AliasPatternCond_K_Ignore, 0},
30176
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
30177
329k
    {AliasPatternCond_K_Ignore, 0},
30178
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
30179
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30180
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30181
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30182
    // (MOVA_MXI4Z_V_H TileVectorOpV16:$ZAd, MatrixIndexGPR32Op12_15:$Rs, uimm1s4range:$imm, ZZZZ_h_mul_r:$Zn) - 4259
30183
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR16RegClassID},
30184
329k
    {AliasPatternCond_K_Ignore, 0},
30185
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
30186
329k
    {AliasPatternCond_K_Ignore, 0},
30187
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
30188
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30189
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30190
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30191
    // (MOVA_MXI4Z_V_S TileVectorOpV32:$ZAd, MatrixIndexGPR32Op12_15:$Rs, uimm0s4range:$imm, ZZZZ_s_mul_r:$Zn) - 4267
30192
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR32RegClassID},
30193
329k
    {AliasPatternCond_K_Ignore, 0},
30194
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
30195
329k
    {AliasPatternCond_K_Ignore, 0},
30196
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
30197
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30198
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30199
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30200
    // (MOVA_VG2_2ZMXI ZZ_d_mul_r:$Zd, MatrixOp64:$ZAn, MatrixIndexGPR32Op8_11:$Rs, sme_elm_idx0_7:$imm) - 4275
30201
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
30202
329k
    {AliasPatternCond_K_RegClass, AArch64_MPRRegClassID},
30203
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_8_11RegClassID},
30204
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30205
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30206
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30207
    // (MOVA_VG2_MXI2Z MatrixOp64:$ZAd, MatrixIndexGPR32Op8_11:$Rs, sme_elm_idx0_7:$imm, ZZ_d_mul_r:$Zn) - 4281
30208
329k
    {AliasPatternCond_K_RegClass, AArch64_MPRRegClassID},
30209
329k
    {AliasPatternCond_K_Ignore, 0},
30210
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_8_11RegClassID},
30211
329k
    {AliasPatternCond_K_Ignore, 0},
30212
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
30213
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30214
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30215
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30216
    // (MOVA_VG4_4ZMXI ZZZZ_d_mul_r:$Zd, MatrixOp64:$ZAn, MatrixIndexGPR32Op8_11:$Rs, sme_elm_idx0_7:$imm) - 4289
30217
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
30218
329k
    {AliasPatternCond_K_RegClass, AArch64_MPRRegClassID},
30219
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_8_11RegClassID},
30220
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30221
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30222
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30223
    // (MOVA_VG4_MXI4Z MatrixOp64:$ZAd, MatrixIndexGPR32Op8_11:$Rs, sme_elm_idx0_7:$imm, ZZZZ_d_mul_r:$Zn) - 4295
30224
329k
    {AliasPatternCond_K_RegClass, AArch64_MPRRegClassID},
30225
329k
    {AliasPatternCond_K_Ignore, 0},
30226
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_8_11RegClassID},
30227
329k
    {AliasPatternCond_K_Ignore, 0},
30228
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
30229
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30230
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30231
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30232
    // (MOVT ZTR:$ZTt, 0, ZPRAny:$Zt) - 4303
30233
329k
    {AliasPatternCond_K_RegClass, AArch64_ZTRRegClassID},
30234
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30235
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30236
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30237
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
30238
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30239
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30240
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME_LUTv2},
30241
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30242
    // (MSRpstatesvcrImm1 { 0, 1, 1 }, { 1 }) - 4312
30243
329k
    {AliasPatternCond_K_Imm, (uint32_t)3},
30244
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30245
    // (MSRpstatesvcrImm1 { 0, 0, 1 }, { 1 }) - 4314
30246
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30247
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30248
    // (MSRpstatesvcrImm1 { 0, 1, 0 }, { 1 }) - 4316
30249
329k
    {AliasPatternCond_K_Imm, (uint32_t)2},
30250
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30251
    // (MSRpstatesvcrImm1 { 0, 1, 1 }, { 0 }) - 4318
30252
329k
    {AliasPatternCond_K_Imm, (uint32_t)3},
30253
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30254
    // (MSRpstatesvcrImm1 { 0, 0, 1 }, { 0 }) - 4320
30255
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30256
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30257
    // (MSRpstatesvcrImm1 { 0, 1, 0 }, { 0 }) - 4322
30258
329k
    {AliasPatternCond_K_Imm, (uint32_t)2},
30259
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30260
    // (MSUBWrrr GPR32:$dst, GPR32:$src1, GPR32:$src2, WZR) - 4324
30261
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30262
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30263
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30264
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
30265
    // (MSUBXrrr GPR64:$dst, GPR64:$src1, GPR64:$src2, XZR) - 4328
30266
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30267
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30268
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30269
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
30270
    // (NOTv16i8 V128:$Vd, V128:$Vn) - 4332
30271
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
30272
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
30273
    // (NOTv8i8 V64:$Vd, V64:$Vn) - 4334
30274
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
30275
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
30276
    // (ORNWrs GPR32:$Wd, WZR, GPR32:$Wm, 0) - 4336
30277
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30278
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
30279
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30280
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30281
    // (ORNWrs GPR32:$Wd, WZR, GPR32:$Wm, logical_shift32:$sh) - 4340
30282
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30283
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
30284
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30285
    // (ORNWrs GPR32:$dst, GPR32:$src1, GPR32:$src2, 0) - 4343
30286
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30287
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30288
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30289
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30290
    // (ORNXrs GPR64:$Xd, XZR, GPR64:$Xm, 0) - 4347
30291
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30292
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
30293
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30294
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30295
    // (ORNXrs GPR64:$Xd, XZR, GPR64:$Xm, logical_shift64:$sh) - 4351
30296
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30297
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
30298
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30299
    // (ORNXrs GPR64:$dst, GPR64:$src1, GPR64:$src2, 0) - 4354
30300
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30301
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30302
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30303
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30304
    // (ORRS_PPzPP PPR8:$Pd, PPR8:$Pn, PPR8:$Pn, PPR8:$Pn) - 4358
30305
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
30306
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
30307
329k
    {AliasPatternCond_K_TiedReg, 1},
30308
329k
    {AliasPatternCond_K_TiedReg, 1},
30309
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30310
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30311
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30312
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30313
    // (ORRWrs GPR32:$dst, WZR, GPR32:$src, 0) - 4366
30314
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30315
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
30316
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30317
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30318
    // (ORRWrs GPR32:$dst, GPR32:$src1, GPR32:$src2, 0) - 4370
30319
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30320
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30321
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30322
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30323
    // (ORRXrs GPR64:$dst, XZR, GPR64:$src, 0) - 4374
30324
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30325
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
30326
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30327
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30328
    // (ORRXrs GPR64:$dst, GPR64:$src1, GPR64:$src2, 0) - 4378
30329
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30330
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30331
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30332
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30333
    // (ORR_PPzPP PPR8:$Pd, PPR8:$Pn, PPR8:$Pn, PPR8:$Pn) - 4382
30334
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
30335
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
30336
329k
    {AliasPatternCond_K_TiedReg, 1},
30337
329k
    {AliasPatternCond_K_TiedReg, 1},
30338
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30339
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30340
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30341
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30342
    // (ORR_ZI ZPR8:$Zdn, sve_logical_imm8:$imm) - 4390
30343
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30344
329k
    {AliasPatternCond_K_Ignore, 0},
30345
329k
    {AliasPatternCond_K_Custom, 1},
30346
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30347
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30348
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30349
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30350
    // (ORR_ZI ZPR16:$Zdn, sve_logical_imm16:$imm) - 4397
30351
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30352
329k
    {AliasPatternCond_K_Ignore, 0},
30353
329k
    {AliasPatternCond_K_Custom, 2},
30354
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30355
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30356
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30357
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30358
    // (ORR_ZI ZPR32:$Zdn, sve_logical_imm32:$imm) - 4404
30359
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30360
329k
    {AliasPatternCond_K_Ignore, 0},
30361
329k
    {AliasPatternCond_K_Custom, 3},
30362
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30363
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30364
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30365
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30366
    // (ORR_ZZZ ZPR64:$Zd, ZPR64:$Zn, ZPR64:$Zn) - 4411
30367
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30368
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30369
329k
    {AliasPatternCond_K_TiedReg, 1},
30370
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30371
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30372
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30373
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30374
    // (ORRv16i8 V128:$dst, V128:$src, V128:$src) - 4418
30375
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
30376
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
30377
329k
    {AliasPatternCond_K_TiedReg, 1},
30378
    // (ORRv8i8 V64:$dst, V64:$src, V64:$src) - 4421
30379
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
30380
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
30381
329k
    {AliasPatternCond_K_TiedReg, 1},
30382
    // (PACIA1716) - 4424
30383
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30384
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeaturePAuth},
30385
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30386
    // (PACIASP) - 4427
30387
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30388
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeaturePAuth},
30389
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30390
    // (PACIAZ) - 4430
30391
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30392
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeaturePAuth},
30393
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30394
    // (PACIB1716) - 4433
30395
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30396
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeaturePAuth},
30397
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30398
    // (PACIBSP) - 4436
30399
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30400
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeaturePAuth},
30401
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30402
    // (PACIBZ) - 4439
30403
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30404
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeaturePAuth},
30405
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30406
    // (PACM) - 4442
30407
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30408
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeaturePAuthLR},
30409
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30410
    // (PMOV_PZI_B PPR8:$Pd, ZPRAny:$Zn, 0) - 4445
30411
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
30412
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30413
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30414
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30415
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2p1},
30416
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
30417
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30418
    // (PMOV_ZIP_B ZPRAny:$Zd, 0, PPR8:$Pn) - 4452
30419
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30420
329k
    {AliasPatternCond_K_Ignore, 0},
30421
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30422
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
30423
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30424
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2p1},
30425
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
30426
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30427
    // (PRFB_D_PZI sve_prfop:$prfop, PPR3bAny:$Pg, ZPR64:$Zn, 0) - 4460
30428
329k
    {AliasPatternCond_K_Ignore, 0},
30429
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
30430
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30431
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30432
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30433
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30434
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30435
    // (PRFB_PRI sve_prfop:$prfop, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 4467
30436
329k
    {AliasPatternCond_K_Ignore, 0},
30437
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
30438
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
30439
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30440
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30441
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30442
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30443
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30444
    // (PRFB_S_PZI sve_prfop:$prfop, PPR3bAny:$Pg, ZPR32:$Zn, 0) - 4475
30445
329k
    {AliasPatternCond_K_Ignore, 0},
30446
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
30447
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30448
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30449
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30450
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30451
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30452
    // (PRFD_D_PZI sve_prfop:$prfop, PPR3bAny:$Pg, ZPR64:$Zn, 0) - 4482
30453
329k
    {AliasPatternCond_K_Ignore, 0},
30454
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
30455
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30456
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30457
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30458
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30459
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30460
    // (PRFD_PRI sve_prfop:$prfop, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 4489
30461
329k
    {AliasPatternCond_K_Ignore, 0},
30462
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
30463
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
30464
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30465
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30466
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30467
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30468
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30469
    // (PRFD_S_PZI sve_prfop:$prfop, PPR3bAny:$Pg, ZPR32:$Zn, 0) - 4497
30470
329k
    {AliasPatternCond_K_Ignore, 0},
30471
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
30472
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30473
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30474
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30475
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30476
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30477
    // (PRFH_D_PZI sve_prfop:$prfop, PPR3bAny:$Pg, ZPR64:$Zn, 0) - 4504
30478
329k
    {AliasPatternCond_K_Ignore, 0},
30479
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
30480
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30481
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30482
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30483
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30484
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30485
    // (PRFH_PRI sve_prfop:$prfop, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 4511
30486
329k
    {AliasPatternCond_K_Ignore, 0},
30487
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
30488
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
30489
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30490
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30491
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30492
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30493
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30494
    // (PRFH_S_PZI sve_prfop:$prfop, PPR3bAny:$Pg, ZPR32:$Zn, 0) - 4519
30495
329k
    {AliasPatternCond_K_Ignore, 0},
30496
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
30497
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30498
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30499
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30500
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30501
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30502
    // (PRFMroX prfop:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0) - 4526
30503
329k
    {AliasPatternCond_K_Ignore, 0},
30504
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
30505
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30506
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30507
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30508
    // (PRFMui prfop:$Rt, GPR64sp:$Rn, 0) - 4531
30509
329k
    {AliasPatternCond_K_Ignore, 0},
30510
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
30511
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30512
    // (PRFUMi prfop:$Rt, GPR64sp:$Rn, 0) - 4534
30513
329k
    {AliasPatternCond_K_Ignore, 0},
30514
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
30515
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30516
    // (PRFW_D_PZI sve_prfop:$prfop, PPR3bAny:$Pg, ZPR64:$Zn, 0) - 4537
30517
329k
    {AliasPatternCond_K_Ignore, 0},
30518
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
30519
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30520
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30521
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30522
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30523
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30524
    // (PRFW_PRI sve_prfop:$prfop, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 4544
30525
329k
    {AliasPatternCond_K_Ignore, 0},
30526
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
30527
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
30528
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30529
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30530
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30531
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30532
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30533
    // (PRFW_S_PZI sve_prfop:$prfop, PPR3bAny:$Pg, ZPR32:$Zn, 0) - 4552
30534
329k
    {AliasPatternCond_K_Ignore, 0},
30535
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
30536
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30537
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30538
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30539
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30540
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30541
    // (PTRUES_B PPR8:$Pd, { 1, 1, 1, 1, 1 }) - 4559
30542
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
30543
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
30544
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30545
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30546
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30547
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30548
    // (PTRUES_D PPR64:$Pd, { 1, 1, 1, 1, 1 }) - 4565
30549
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
30550
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
30551
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30552
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30553
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30554
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30555
    // (PTRUES_H PPR16:$Pd, { 1, 1, 1, 1, 1 }) - 4571
30556
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
30557
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
30558
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30559
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30560
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30561
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30562
    // (PTRUES_S PPR32:$Pd, { 1, 1, 1, 1, 1 }) - 4577
30563
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
30564
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
30565
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30566
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30567
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30568
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30569
    // (PTRUE_B PPR8:$Pd, { 1, 1, 1, 1, 1 }) - 4583
30570
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
30571
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
30572
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30573
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30574
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30575
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30576
    // (PTRUE_D PPR64:$Pd, { 1, 1, 1, 1, 1 }) - 4589
30577
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
30578
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
30579
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30580
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30581
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30582
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30583
    // (PTRUE_H PPR16:$Pd, { 1, 1, 1, 1, 1 }) - 4595
30584
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
30585
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
30586
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30587
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30588
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30589
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30590
    // (PTRUE_S PPR32:$Pd, { 1, 1, 1, 1, 1 }) - 4601
30591
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
30592
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
30593
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30594
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30595
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30596
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30597
    // (RET LR) - 4607
30598
329k
    {AliasPatternCond_K_Reg, AArch64_LR},
30599
    // (SBCSWr GPR32:$dst, WZR, GPR32:$src) - 4608
30600
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30601
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
30602
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30603
    // (SBCSXr GPR64:$dst, XZR, GPR64:$src) - 4611
30604
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30605
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
30606
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30607
    // (SBCWr GPR32:$dst, WZR, GPR32:$src) - 4614
30608
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30609
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
30610
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30611
    // (SBCXr GPR64:$dst, XZR, GPR64:$src) - 4617
30612
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30613
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
30614
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30615
    // (SBFMWri GPR32:$dst, GPR32:$src, imm0_31:$shift, 31) - 4620
30616
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30617
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30618
329k
    {AliasPatternCond_K_Ignore, 0},
30619
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
30620
    // (SBFMWri GPR32:$dst, GPR32:$src, 0, 7) - 4624
30621
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30622
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30623
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30624
329k
    {AliasPatternCond_K_Imm, (uint32_t)7},
30625
    // (SBFMWri GPR32:$dst, GPR32:$src, 0, 15) - 4628
30626
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30627
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30628
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30629
329k
    {AliasPatternCond_K_Imm, (uint32_t)15},
30630
    // (SBFMXri GPR64:$dst, GPR64:$src, imm0_63:$shift, 63) - 4632
30631
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30632
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30633
329k
    {AliasPatternCond_K_Ignore, 0},
30634
329k
    {AliasPatternCond_K_Imm, (uint32_t)63},
30635
    // (SBFMXri GPR64:$dst, GPR64:$src, 0, 7) - 4636
30636
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30637
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30638
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30639
329k
    {AliasPatternCond_K_Imm, (uint32_t)7},
30640
    // (SBFMXri GPR64:$dst, GPR64:$src, 0, 15) - 4640
30641
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30642
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30643
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30644
329k
    {AliasPatternCond_K_Imm, (uint32_t)15},
30645
    // (SBFMXri GPR64:$dst, GPR64:$src, 0, 31) - 4644
30646
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30647
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30648
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
30649
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
30650
    // (SEL_PPPP PPR8:$Pd, PPRAny:$Pg, PPR8:$Pn, PPR8:$Pd) - 4648
30651
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
30652
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
30653
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
30654
329k
    {AliasPatternCond_K_TiedReg, 0},
30655
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30656
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30657
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30658
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30659
    // (SEL_ZPZZ_B ZPR8:$Zd, PPRAny:$Pg, ZPR8:$Zn, ZPR8:$Zd) - 4656
30660
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30661
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
30662
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30663
329k
    {AliasPatternCond_K_TiedReg, 0},
30664
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30665
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30666
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30667
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30668
    // (SEL_ZPZZ_D ZPR64:$Zd, PPRAny:$Pg, ZPR64:$Zn, ZPR64:$Zd) - 4664
30669
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30670
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
30671
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30672
329k
    {AliasPatternCond_K_TiedReg, 0},
30673
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30674
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30675
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30676
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30677
    // (SEL_ZPZZ_H ZPR16:$Zd, PPRAny:$Pg, ZPR16:$Zn, ZPR16:$Zd) - 4672
30678
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30679
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
30680
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30681
329k
    {AliasPatternCond_K_TiedReg, 0},
30682
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30683
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30684
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30685
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30686
    // (SEL_ZPZZ_S ZPR32:$Zd, PPRAny:$Pg, ZPR32:$Zn, ZPR32:$Zd) - 4680
30687
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30688
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
30689
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30690
329k
    {AliasPatternCond_K_TiedReg, 0},
30691
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30692
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30693
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30694
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30695
    // (SMADDLrrr GPR64:$dst, GPR32:$src1, GPR32:$src2, XZR) - 4688
30696
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30697
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30698
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30699
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
30700
    // (SMSUBLrrr GPR64:$dst, GPR32:$src1, GPR32:$src2, XZR) - 4692
30701
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30702
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30703
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
30704
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
30705
    // (SQDECB_XPiI GPR64z:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 4696
30706
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30707
329k
    {AliasPatternCond_K_Ignore, 0},
30708
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
30709
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30710
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30711
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30712
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30713
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30714
    // (SQDECB_XPiI GPR64z:$Rdn, sve_pred_enum:$pattern, 1) - 4704
30715
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30716
329k
    {AliasPatternCond_K_Ignore, 0},
30717
329k
    {AliasPatternCond_K_Ignore, 0},
30718
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30719
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30720
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30721
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30722
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30723
    // (SQDECB_XPiWdI GPR64z:$Rd, GPR64as32:$Rn, { 1, 1, 1, 1, 1 }, 1) - 4712
30724
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30725
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30726
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
30727
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30728
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30729
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30730
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30731
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30732
    // (SQDECB_XPiWdI GPR64z:$Rd, GPR64as32:$Rn, sve_pred_enum:$pattern, 1) - 4720
30733
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30734
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30735
329k
    {AliasPatternCond_K_Ignore, 0},
30736
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30737
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30738
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30739
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30740
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30741
    // (SQDECD_XPiI GPR64z:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 4728
30742
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30743
329k
    {AliasPatternCond_K_Ignore, 0},
30744
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
30745
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30746
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30747
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30748
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30749
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30750
    // (SQDECD_XPiI GPR64z:$Rdn, sve_pred_enum:$pattern, 1) - 4736
30751
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30752
329k
    {AliasPatternCond_K_Ignore, 0},
30753
329k
    {AliasPatternCond_K_Ignore, 0},
30754
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30755
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30756
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30757
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30758
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30759
    // (SQDECD_XPiWdI GPR64z:$Rd, GPR64as32:$Rn, { 1, 1, 1, 1, 1 }, 1) - 4744
30760
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30761
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30762
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
30763
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30764
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30765
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30766
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30767
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30768
    // (SQDECD_XPiWdI GPR64z:$Rd, GPR64as32:$Rn, sve_pred_enum:$pattern, 1) - 4752
30769
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30770
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30771
329k
    {AliasPatternCond_K_Ignore, 0},
30772
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30773
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30774
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30775
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30776
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30777
    // (SQDECD_ZPiI ZPR64:$Zdn, { 1, 1, 1, 1, 1 }, 1) - 4760
30778
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30779
329k
    {AliasPatternCond_K_Ignore, 0},
30780
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
30781
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30782
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30783
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30784
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30785
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30786
    // (SQDECD_ZPiI ZPR64:$Zdn, sve_pred_enum:$pattern, 1) - 4768
30787
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30788
329k
    {AliasPatternCond_K_Ignore, 0},
30789
329k
    {AliasPatternCond_K_Ignore, 0},
30790
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30791
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30792
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30793
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30794
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30795
    // (SQDECH_XPiI GPR64z:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 4776
30796
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30797
329k
    {AliasPatternCond_K_Ignore, 0},
30798
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
30799
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30800
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30801
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30802
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30803
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30804
    // (SQDECH_XPiI GPR64z:$Rdn, sve_pred_enum:$pattern, 1) - 4784
30805
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30806
329k
    {AliasPatternCond_K_Ignore, 0},
30807
329k
    {AliasPatternCond_K_Ignore, 0},
30808
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30809
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30810
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30811
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30812
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30813
    // (SQDECH_XPiWdI GPR64z:$Rd, GPR64as32:$Rn, { 1, 1, 1, 1, 1 }, 1) - 4792
30814
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30815
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30816
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
30817
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30818
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30819
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30820
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30821
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30822
    // (SQDECH_XPiWdI GPR64z:$Rd, GPR64as32:$Rn, sve_pred_enum:$pattern, 1) - 4800
30823
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30824
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30825
329k
    {AliasPatternCond_K_Ignore, 0},
30826
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30827
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30828
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30829
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30830
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30831
    // (SQDECH_ZPiI ZPR16:$Zdn, { 1, 1, 1, 1, 1 }, 1) - 4808
30832
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30833
329k
    {AliasPatternCond_K_Ignore, 0},
30834
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
30835
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30836
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30837
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30838
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30839
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30840
    // (SQDECH_ZPiI ZPR16:$Zdn, sve_pred_enum:$pattern, 1) - 4816
30841
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30842
329k
    {AliasPatternCond_K_Ignore, 0},
30843
329k
    {AliasPatternCond_K_Ignore, 0},
30844
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30845
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30846
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30847
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30848
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30849
    // (SQDECW_XPiI GPR64z:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 4824
30850
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30851
329k
    {AliasPatternCond_K_Ignore, 0},
30852
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
30853
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30854
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30855
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30856
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30857
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30858
    // (SQDECW_XPiI GPR64z:$Rdn, sve_pred_enum:$pattern, 1) - 4832
30859
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30860
329k
    {AliasPatternCond_K_Ignore, 0},
30861
329k
    {AliasPatternCond_K_Ignore, 0},
30862
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30863
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30864
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30865
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30866
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30867
    // (SQDECW_XPiWdI GPR64z:$Rd, GPR64as32:$Rn, { 1, 1, 1, 1, 1 }, 1) - 4840
30868
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30869
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30870
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
30871
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30872
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30873
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30874
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30875
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30876
    // (SQDECW_XPiWdI GPR64z:$Rd, GPR64as32:$Rn, sve_pred_enum:$pattern, 1) - 4848
30877
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30878
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30879
329k
    {AliasPatternCond_K_Ignore, 0},
30880
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30881
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30882
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30883
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30884
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30885
    // (SQDECW_ZPiI ZPR32:$Zdn, { 1, 1, 1, 1, 1 }, 1) - 4856
30886
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30887
329k
    {AliasPatternCond_K_Ignore, 0},
30888
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
30889
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30890
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30891
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30892
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30893
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30894
    // (SQDECW_ZPiI ZPR32:$Zdn, sve_pred_enum:$pattern, 1) - 4864
30895
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30896
329k
    {AliasPatternCond_K_Ignore, 0},
30897
329k
    {AliasPatternCond_K_Ignore, 0},
30898
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30899
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30900
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30901
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30902
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30903
    // (SQINCB_XPiI GPR64z:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 4872
30904
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30905
329k
    {AliasPatternCond_K_Ignore, 0},
30906
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
30907
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30908
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30909
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30910
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30911
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30912
    // (SQINCB_XPiI GPR64z:$Rdn, sve_pred_enum:$pattern, 1) - 4880
30913
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30914
329k
    {AliasPatternCond_K_Ignore, 0},
30915
329k
    {AliasPatternCond_K_Ignore, 0},
30916
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30917
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30918
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30919
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30920
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30921
    // (SQINCB_XPiWdI GPR64z:$Rd, GPR64as32:$Rn, { 1, 1, 1, 1, 1 }, 1) - 4888
30922
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30923
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30924
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
30925
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30926
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30927
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30928
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30929
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30930
    // (SQINCB_XPiWdI GPR64z:$Rd, GPR64as32:$Rn, sve_pred_enum:$pattern, 1) - 4896
30931
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30932
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30933
329k
    {AliasPatternCond_K_Ignore, 0},
30934
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30935
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30936
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30937
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30938
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30939
    // (SQINCD_XPiI GPR64z:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 4904
30940
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30941
329k
    {AliasPatternCond_K_Ignore, 0},
30942
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
30943
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30944
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30945
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30946
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30947
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30948
    // (SQINCD_XPiI GPR64z:$Rdn, sve_pred_enum:$pattern, 1) - 4912
30949
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30950
329k
    {AliasPatternCond_K_Ignore, 0},
30951
329k
    {AliasPatternCond_K_Ignore, 0},
30952
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30953
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30954
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30955
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30956
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30957
    // (SQINCD_XPiWdI GPR64z:$Rd, GPR64as32:$Rn, { 1, 1, 1, 1, 1 }, 1) - 4920
30958
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30959
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30960
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
30961
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30962
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30963
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30964
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30965
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30966
    // (SQINCD_XPiWdI GPR64z:$Rd, GPR64as32:$Rn, sve_pred_enum:$pattern, 1) - 4928
30967
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30968
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30969
329k
    {AliasPatternCond_K_Ignore, 0},
30970
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30971
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30972
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30973
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30974
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30975
    // (SQINCD_ZPiI ZPR64:$Zdn, { 1, 1, 1, 1, 1 }, 1) - 4936
30976
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30977
329k
    {AliasPatternCond_K_Ignore, 0},
30978
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
30979
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30980
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30981
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30982
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30983
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30984
    // (SQINCD_ZPiI ZPR64:$Zdn, sve_pred_enum:$pattern, 1) - 4944
30985
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
30986
329k
    {AliasPatternCond_K_Ignore, 0},
30987
329k
    {AliasPatternCond_K_Ignore, 0},
30988
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30989
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30990
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
30991
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
30992
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
30993
    // (SQINCH_XPiI GPR64z:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 4952
30994
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
30995
329k
    {AliasPatternCond_K_Ignore, 0},
30996
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
30997
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
30998
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
30999
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31000
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31001
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31002
    // (SQINCH_XPiI GPR64z:$Rdn, sve_pred_enum:$pattern, 1) - 4960
31003
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
31004
329k
    {AliasPatternCond_K_Ignore, 0},
31005
329k
    {AliasPatternCond_K_Ignore, 0},
31006
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
31007
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31008
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31009
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31010
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31011
    // (SQINCH_XPiWdI GPR64z:$Rd, GPR64as32:$Rn, { 1, 1, 1, 1, 1 }, 1) - 4968
31012
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
31013
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
31014
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
31015
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
31016
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31017
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31018
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31019
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31020
    // (SQINCH_XPiWdI GPR64z:$Rd, GPR64as32:$Rn, sve_pred_enum:$pattern, 1) - 4976
31021
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
31022
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
31023
329k
    {AliasPatternCond_K_Ignore, 0},
31024
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
31025
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31026
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31027
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31028
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31029
    // (SQINCH_ZPiI ZPR16:$Zdn, { 1, 1, 1, 1, 1 }, 1) - 4984
31030
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31031
329k
    {AliasPatternCond_K_Ignore, 0},
31032
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
31033
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
31034
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31035
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31036
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31037
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31038
    // (SQINCH_ZPiI ZPR16:$Zdn, sve_pred_enum:$pattern, 1) - 4992
31039
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31040
329k
    {AliasPatternCond_K_Ignore, 0},
31041
329k
    {AliasPatternCond_K_Ignore, 0},
31042
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
31043
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31044
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31045
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31046
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31047
    // (SQINCW_XPiI GPR64z:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 5000
31048
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
31049
329k
    {AliasPatternCond_K_Ignore, 0},
31050
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
31051
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
31052
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31053
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31054
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31055
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31056
    // (SQINCW_XPiI GPR64z:$Rdn, sve_pred_enum:$pattern, 1) - 5008
31057
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
31058
329k
    {AliasPatternCond_K_Ignore, 0},
31059
329k
    {AliasPatternCond_K_Ignore, 0},
31060
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
31061
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31062
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31063
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31064
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31065
    // (SQINCW_XPiWdI GPR64z:$Rd, GPR64as32:$Rn, { 1, 1, 1, 1, 1 }, 1) - 5016
31066
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
31067
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
31068
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
31069
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
31070
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31071
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31072
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31073
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31074
    // (SQINCW_XPiWdI GPR64z:$Rd, GPR64as32:$Rn, sve_pred_enum:$pattern, 1) - 5024
31075
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
31076
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
31077
329k
    {AliasPatternCond_K_Ignore, 0},
31078
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
31079
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31080
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31081
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31082
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31083
    // (SQINCW_ZPiI ZPR32:$Zdn, { 1, 1, 1, 1, 1 }, 1) - 5032
31084
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31085
329k
    {AliasPatternCond_K_Ignore, 0},
31086
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
31087
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
31088
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31089
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31090
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31091
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31092
    // (SQINCW_ZPiI ZPR32:$Zdn, sve_pred_enum:$pattern, 1) - 5040
31093
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31094
329k
    {AliasPatternCond_K_Ignore, 0},
31095
329k
    {AliasPatternCond_K_Ignore, 0},
31096
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
31097
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31098
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31099
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31100
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31101
    // (SST1B_D_IMM Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, 0) - 5048
31102
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31103
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31104
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31105
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31106
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31107
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31108
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31109
    // (SST1B_S_IMM Z_s:$Zt, PPR3bAny:$Pg, ZPR32:$Zn, 0) - 5055
31110
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31111
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31112
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31113
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31114
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31115
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31116
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31117
    // (SST1D_IMM Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, 0) - 5062
31118
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31119
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31120
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31121
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31122
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31123
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31124
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31125
    // (SST1H_D_IMM Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, 0) - 5069
31126
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31127
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31128
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31129
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31130
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31131
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31132
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31133
    // (SST1H_S_IMM Z_s:$Zt, PPR3bAny:$Pg, ZPR32:$Zn, 0) - 5076
31134
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31135
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31136
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31137
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31138
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31139
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31140
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31141
    // (SST1Q Z_q:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, XZR) - 5083
31142
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31143
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31144
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31145
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31146
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31147
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
31148
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31149
    // (SST1W_D_IMM Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, 0) - 5090
31150
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31151
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31152
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31153
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31154
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31155
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31156
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31157
    // (SST1W_IMM Z_s:$Zt, PPR3bAny:$Pg, ZPR32:$Zn, 0) - 5097
31158
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31159
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31160
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31161
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31162
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31163
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31164
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31165
    // (ST1B_2Z_IMM ZZ_b_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 5104
31166
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
31167
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
31168
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31169
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31170
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31171
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
31172
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
31173
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31174
    // (ST1B_2Z_STRIDED_IMM ZZ_b_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 5112
31175
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2StridedRegClassID},
31176
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
31177
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31178
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31179
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31180
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
31181
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31182
    // (ST1B_4Z_IMM ZZZZ_b_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 5119
31183
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
31184
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
31185
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31186
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31187
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31188
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
31189
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
31190
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31191
    // (ST1B_4Z_STRIDED_IMM ZZZZ_b_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 5127
31192
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4StridedRegClassID},
31193
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
31194
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31195
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31196
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31197
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
31198
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31199
    // (ST1B_D_IMM Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 5134
31200
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31201
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31202
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31203
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31204
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31205
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31206
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31207
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31208
    // (ST1B_H_IMM Z_h:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 5142
31209
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31210
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31211
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31212
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31213
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31214
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31215
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31216
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31217
    // (ST1B_IMM Z_b:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 5150
31218
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31219
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31220
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31221
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31222
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31223
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31224
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31225
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31226
    // (ST1B_S_IMM Z_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 5158
31227
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31228
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31229
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31230
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31231
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31232
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31233
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31234
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31235
    // (ST1D_2Z_IMM ZZ_d_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 5166
31236
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
31237
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
31238
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31239
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31240
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31241
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
31242
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
31243
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31244
    // (ST1D_2Z_STRIDED_IMM ZZ_d_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 5174
31245
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2StridedRegClassID},
31246
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
31247
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31248
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31249
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31250
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
31251
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31252
    // (ST1D_4Z_IMM ZZZZ_d_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 5181
31253
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
31254
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
31255
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31256
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31257
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31258
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
31259
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
31260
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31261
    // (ST1D_4Z_STRIDED_IMM ZZZZ_d_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 5189
31262
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4StridedRegClassID},
31263
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
31264
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31265
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31266
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31267
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
31268
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31269
    // (ST1D_IMM Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 5196
31270
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31271
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31272
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31273
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31274
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31275
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31276
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31277
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31278
    // (ST1D_Q_IMM Z_q:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 5204
31279
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31280
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31281
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31282
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31283
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31284
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
31285
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31286
    // (ST1Fourv16b_POST GPR64sp:$Rn, VecListFour16b:$Vt, XZR) - 5211
31287
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31288
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
31289
329k
    {AliasPatternCond_K_Ignore, 0},
31290
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31291
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31292
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31293
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31294
    // (ST1Fourv1d_POST GPR64sp:$Rn, VecListFour1d:$Vt, XZR) - 5218
31295
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31296
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDDRegClassID},
31297
329k
    {AliasPatternCond_K_Ignore, 0},
31298
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31299
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31300
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31301
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31302
    // (ST1Fourv2d_POST GPR64sp:$Rn, VecListFour2d:$Vt, XZR) - 5225
31303
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31304
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
31305
329k
    {AliasPatternCond_K_Ignore, 0},
31306
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31307
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31308
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31309
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31310
    // (ST1Fourv2s_POST GPR64sp:$Rn, VecListFour2s:$Vt, XZR) - 5232
31311
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31312
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDDRegClassID},
31313
329k
    {AliasPatternCond_K_Ignore, 0},
31314
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31315
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31316
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31317
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31318
    // (ST1Fourv4h_POST GPR64sp:$Rn, VecListFour4h:$Vt, XZR) - 5239
31319
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31320
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDDRegClassID},
31321
329k
    {AliasPatternCond_K_Ignore, 0},
31322
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31323
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31324
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31325
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31326
    // (ST1Fourv4s_POST GPR64sp:$Rn, VecListFour4s:$Vt, XZR) - 5246
31327
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31328
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
31329
329k
    {AliasPatternCond_K_Ignore, 0},
31330
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31331
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31332
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31333
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31334
    // (ST1Fourv8b_POST GPR64sp:$Rn, VecListFour8b:$Vt, XZR) - 5253
31335
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31336
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDDRegClassID},
31337
329k
    {AliasPatternCond_K_Ignore, 0},
31338
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31339
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31340
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31341
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31342
    // (ST1Fourv8h_POST GPR64sp:$Rn, VecListFour8h:$Vt, XZR) - 5260
31343
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31344
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
31345
329k
    {AliasPatternCond_K_Ignore, 0},
31346
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31347
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31348
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31349
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31350
    // (ST1H_2Z_IMM ZZ_h_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 5267
31351
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
31352
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
31353
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31354
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31355
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31356
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
31357
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
31358
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31359
    // (ST1H_2Z_STRIDED_IMM ZZ_h_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 5275
31360
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2StridedRegClassID},
31361
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
31362
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31363
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31364
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31365
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
31366
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31367
    // (ST1H_4Z_IMM ZZZZ_h_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 5282
31368
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
31369
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
31370
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31371
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31372
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31373
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
31374
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
31375
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31376
    // (ST1H_4Z_STRIDED_IMM ZZZZ_h_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 5290
31377
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4StridedRegClassID},
31378
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
31379
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31380
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31381
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31382
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
31383
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31384
    // (ST1H_D_IMM Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 5297
31385
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31386
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31387
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31388
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31389
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31390
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31391
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31392
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31393
    // (ST1H_IMM Z_h:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 5305
31394
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31395
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31396
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31397
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31398
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31399
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31400
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31401
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31402
    // (ST1H_S_IMM Z_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 5313
31403
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31404
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31405
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31406
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31407
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31408
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31409
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31410
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31411
    // (ST1Onev16b_POST GPR64sp:$Rn, VecListOne16b:$Vt, XZR) - 5321
31412
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31413
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
31414
329k
    {AliasPatternCond_K_Ignore, 0},
31415
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31416
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31417
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31418
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31419
    // (ST1Onev1d_POST GPR64sp:$Rn, VecListOne1d:$Vt, XZR) - 5328
31420
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31421
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
31422
329k
    {AliasPatternCond_K_Ignore, 0},
31423
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31424
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31425
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31426
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31427
    // (ST1Onev2d_POST GPR64sp:$Rn, VecListOne2d:$Vt, XZR) - 5335
31428
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31429
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
31430
329k
    {AliasPatternCond_K_Ignore, 0},
31431
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31432
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31433
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31434
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31435
    // (ST1Onev2s_POST GPR64sp:$Rn, VecListOne2s:$Vt, XZR) - 5342
31436
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31437
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
31438
329k
    {AliasPatternCond_K_Ignore, 0},
31439
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31440
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31441
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31442
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31443
    // (ST1Onev4h_POST GPR64sp:$Rn, VecListOne4h:$Vt, XZR) - 5349
31444
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31445
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
31446
329k
    {AliasPatternCond_K_Ignore, 0},
31447
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31448
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31449
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31450
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31451
    // (ST1Onev4s_POST GPR64sp:$Rn, VecListOne4s:$Vt, XZR) - 5356
31452
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31453
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
31454
329k
    {AliasPatternCond_K_Ignore, 0},
31455
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31456
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31457
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31458
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31459
    // (ST1Onev8b_POST GPR64sp:$Rn, VecListOne8b:$Vt, XZR) - 5363
31460
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31461
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
31462
329k
    {AliasPatternCond_K_Ignore, 0},
31463
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31464
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31465
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31466
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31467
    // (ST1Onev8h_POST GPR64sp:$Rn, VecListOne8h:$Vt, XZR) - 5370
31468
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31469
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
31470
329k
    {AliasPatternCond_K_Ignore, 0},
31471
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31472
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31473
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31474
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31475
    // (ST1Threev16b_POST GPR64sp:$Rn, VecListThree16b:$Vt, XZR) - 5377
31476
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31477
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
31478
329k
    {AliasPatternCond_K_Ignore, 0},
31479
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31480
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31481
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31482
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31483
    // (ST1Threev1d_POST GPR64sp:$Rn, VecListThree1d:$Vt, XZR) - 5384
31484
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31485
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDRegClassID},
31486
329k
    {AliasPatternCond_K_Ignore, 0},
31487
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31488
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31489
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31490
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31491
    // (ST1Threev2d_POST GPR64sp:$Rn, VecListThree2d:$Vt, XZR) - 5391
31492
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31493
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
31494
329k
    {AliasPatternCond_K_Ignore, 0},
31495
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31496
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31497
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31498
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31499
    // (ST1Threev2s_POST GPR64sp:$Rn, VecListThree2s:$Vt, XZR) - 5398
31500
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31501
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDRegClassID},
31502
329k
    {AliasPatternCond_K_Ignore, 0},
31503
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31504
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31505
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31506
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31507
    // (ST1Threev4h_POST GPR64sp:$Rn, VecListThree4h:$Vt, XZR) - 5405
31508
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31509
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDRegClassID},
31510
329k
    {AliasPatternCond_K_Ignore, 0},
31511
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31512
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31513
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31514
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31515
    // (ST1Threev4s_POST GPR64sp:$Rn, VecListThree4s:$Vt, XZR) - 5412
31516
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31517
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
31518
329k
    {AliasPatternCond_K_Ignore, 0},
31519
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31520
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31521
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31522
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31523
    // (ST1Threev8b_POST GPR64sp:$Rn, VecListThree8b:$Vt, XZR) - 5419
31524
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31525
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDRegClassID},
31526
329k
    {AliasPatternCond_K_Ignore, 0},
31527
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31528
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31529
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31530
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31531
    // (ST1Threev8h_POST GPR64sp:$Rn, VecListThree8h:$Vt, XZR) - 5426
31532
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31533
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
31534
329k
    {AliasPatternCond_K_Ignore, 0},
31535
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31536
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31537
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31538
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31539
    // (ST1Twov16b_POST GPR64sp:$Rn, VecListTwo16b:$Vt, XZR) - 5433
31540
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31541
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
31542
329k
    {AliasPatternCond_K_Ignore, 0},
31543
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31544
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31545
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31546
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31547
    // (ST1Twov1d_POST GPR64sp:$Rn, VecListTwo1d:$Vt, XZR) - 5440
31548
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31549
329k
    {AliasPatternCond_K_RegClass, AArch64_DDRegClassID},
31550
329k
    {AliasPatternCond_K_Ignore, 0},
31551
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31552
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31553
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31554
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31555
    // (ST1Twov2d_POST GPR64sp:$Rn, VecListTwo2d:$Vt, XZR) - 5447
31556
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31557
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
31558
329k
    {AliasPatternCond_K_Ignore, 0},
31559
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31560
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31561
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31562
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31563
    // (ST1Twov2s_POST GPR64sp:$Rn, VecListTwo2s:$Vt, XZR) - 5454
31564
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31565
329k
    {AliasPatternCond_K_RegClass, AArch64_DDRegClassID},
31566
329k
    {AliasPatternCond_K_Ignore, 0},
31567
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31568
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31569
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31570
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31571
    // (ST1Twov4h_POST GPR64sp:$Rn, VecListTwo4h:$Vt, XZR) - 5461
31572
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31573
329k
    {AliasPatternCond_K_RegClass, AArch64_DDRegClassID},
31574
329k
    {AliasPatternCond_K_Ignore, 0},
31575
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31576
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31577
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31578
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31579
    // (ST1Twov4s_POST GPR64sp:$Rn, VecListTwo4s:$Vt, XZR) - 5468
31580
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31581
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
31582
329k
    {AliasPatternCond_K_Ignore, 0},
31583
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31584
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31585
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31586
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31587
    // (ST1Twov8b_POST GPR64sp:$Rn, VecListTwo8b:$Vt, XZR) - 5475
31588
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31589
329k
    {AliasPatternCond_K_RegClass, AArch64_DDRegClassID},
31590
329k
    {AliasPatternCond_K_Ignore, 0},
31591
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31592
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31593
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31594
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31595
    // (ST1Twov8h_POST GPR64sp:$Rn, VecListTwo8h:$Vt, XZR) - 5482
31596
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31597
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
31598
329k
    {AliasPatternCond_K_Ignore, 0},
31599
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31600
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31601
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31602
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31603
    // (ST1W_2Z_IMM ZZ_s_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 5489
31604
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
31605
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
31606
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31607
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31608
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31609
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
31610
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
31611
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31612
    // (ST1W_2Z_STRIDED_IMM ZZ_s_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 5497
31613
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2StridedRegClassID},
31614
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
31615
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31616
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31617
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31618
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
31619
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31620
    // (ST1W_4Z_IMM ZZZZ_s_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 5504
31621
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
31622
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
31623
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31624
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31625
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31626
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
31627
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
31628
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31629
    // (ST1W_4Z_STRIDED_IMM ZZZZ_s_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 5512
31630
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4StridedRegClassID},
31631
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
31632
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31633
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31634
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31635
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
31636
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31637
    // (ST1W_D_IMM Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 5519
31638
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31639
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31640
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31641
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31642
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31643
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31644
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31645
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31646
    // (ST1W_IMM Z_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 5527
31647
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31648
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31649
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31650
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31651
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31652
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31653
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31654
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31655
    // (ST1W_Q_IMM Z_q:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 5535
31656
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
31657
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31658
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31659
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31660
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31661
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
31662
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31663
    // (ST1_MXIPXX_H_B TileVectorOpH8:$ZAt, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_15:$imm, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 5542
31664
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR8RegClassID},
31665
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
31666
329k
    {AliasPatternCond_K_Ignore, 0},
31667
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31668
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31669
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31670
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31671
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31672
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31673
    // (ST1_MXIPXX_H_D TileVectorOpH64:$ZAt, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_1:$imm, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 5551
31674
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR64RegClassID},
31675
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
31676
329k
    {AliasPatternCond_K_Ignore, 0},
31677
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31678
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31679
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31680
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31681
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31682
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31683
    // (ST1_MXIPXX_H_H TileVectorOpH16:$ZAt, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_7:$imm, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 5560
31684
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR16RegClassID},
31685
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
31686
329k
    {AliasPatternCond_K_Ignore, 0},
31687
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31688
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31689
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31690
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31691
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31692
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31693
    // (ST1_MXIPXX_H_Q TileVectorOpH128:$ZAt, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_0:$imm, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 5569
31694
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR128RegClassID},
31695
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
31696
329k
    {AliasPatternCond_K_Ignore, 0},
31697
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31698
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31699
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31700
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31701
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31702
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31703
    // (ST1_MXIPXX_H_S TileVectorOpH32:$ZAt, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_3:$imm, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 5578
31704
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR32RegClassID},
31705
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
31706
329k
    {AliasPatternCond_K_Ignore, 0},
31707
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31708
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31709
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31710
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31711
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31712
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31713
    // (ST1_MXIPXX_V_B TileVectorOpV8:$ZAt, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_15:$imm, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 5587
31714
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR8RegClassID},
31715
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
31716
329k
    {AliasPatternCond_K_Ignore, 0},
31717
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31718
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31719
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31720
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31721
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31722
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31723
    // (ST1_MXIPXX_V_D TileVectorOpV64:$ZAt, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_1:$imm, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 5596
31724
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR64RegClassID},
31725
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
31726
329k
    {AliasPatternCond_K_Ignore, 0},
31727
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31728
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31729
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31730
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31731
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31732
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31733
    // (ST1_MXIPXX_V_H TileVectorOpV16:$ZAt, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_7:$imm, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 5605
31734
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR16RegClassID},
31735
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
31736
329k
    {AliasPatternCond_K_Ignore, 0},
31737
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31738
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31739
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31740
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31741
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31742
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31743
    // (ST1_MXIPXX_V_Q TileVectorOpV128:$ZAt, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_0:$imm, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 5614
31744
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR128RegClassID},
31745
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
31746
329k
    {AliasPatternCond_K_Ignore, 0},
31747
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31748
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31749
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31750
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31751
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31752
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31753
    // (ST1_MXIPXX_V_S TileVectorOpV32:$ZAt, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_3:$imm, PPR3bAny:$Pg, GPR64sp:$Rn, XZR) - 5623
31754
329k
    {AliasPatternCond_K_RegClass, AArch64_MPR32RegClassID},
31755
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
31756
329k
    {AliasPatternCond_K_Ignore, 0},
31757
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31758
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31759
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31760
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31761
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31762
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31763
    // (ST1i16_POST GPR64sp:$Rn, VecListOneh:$Vt, VectorIndexH:$idx, XZR) - 5632
31764
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31765
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
31766
329k
    {AliasPatternCond_K_Ignore, 0},
31767
329k
    {AliasPatternCond_K_Ignore, 0},
31768
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31769
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31770
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31771
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31772
    // (ST1i32_POST GPR64sp:$Rn, VecListOnes:$Vt, VectorIndexS:$idx, XZR) - 5640
31773
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31774
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
31775
329k
    {AliasPatternCond_K_Ignore, 0},
31776
329k
    {AliasPatternCond_K_Ignore, 0},
31777
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31778
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31779
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31780
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31781
    // (ST1i64_POST GPR64sp:$Rn, VecListOned:$Vt, VectorIndexD:$idx, XZR) - 5648
31782
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31783
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
31784
329k
    {AliasPatternCond_K_Ignore, 0},
31785
329k
    {AliasPatternCond_K_Ignore, 0},
31786
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31787
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31788
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31789
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31790
    // (ST1i8_POST GPR64sp:$Rn, VecListOneb:$Vt, VectorIndexB:$idx, XZR) - 5656
31791
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31792
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
31793
329k
    {AliasPatternCond_K_Ignore, 0},
31794
329k
    {AliasPatternCond_K_Ignore, 0},
31795
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31796
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31797
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31798
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31799
    // (ST2B_IMM ZZ_b:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 5664
31800
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2RegClassID},
31801
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31802
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31803
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31804
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31805
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31806
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31807
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31808
    // (ST2D_IMM ZZ_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 5672
31809
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2RegClassID},
31810
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31811
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31812
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31813
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31814
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31815
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31816
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31817
    // (ST2Gi GPR64sp:$Rt, GPR64sp:$Rn, 0) - 5680
31818
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31819
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31820
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31821
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31822
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureMTE},
31823
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31824
    // (ST2H_IMM ZZ_h:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 5686
31825
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2RegClassID},
31826
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31827
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31828
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31829
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31830
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31831
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31832
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31833
    // (ST2Q_IMM ZZ_q:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 5694
31834
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2RegClassID},
31835
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31836
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31837
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31838
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31839
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2p1},
31840
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
31841
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31842
    // (ST2Twov16b_POST GPR64sp:$Rn, VecListTwo16b:$Vt, XZR) - 5702
31843
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31844
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
31845
329k
    {AliasPatternCond_K_Ignore, 0},
31846
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31847
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31848
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31849
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31850
    // (ST2Twov2d_POST GPR64sp:$Rn, VecListTwo2d:$Vt, XZR) - 5709
31851
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31852
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
31853
329k
    {AliasPatternCond_K_Ignore, 0},
31854
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31855
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31856
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31857
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31858
    // (ST2Twov2s_POST GPR64sp:$Rn, VecListTwo2s:$Vt, XZR) - 5716
31859
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31860
329k
    {AliasPatternCond_K_RegClass, AArch64_DDRegClassID},
31861
329k
    {AliasPatternCond_K_Ignore, 0},
31862
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31863
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31864
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31865
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31866
    // (ST2Twov4h_POST GPR64sp:$Rn, VecListTwo4h:$Vt, XZR) - 5723
31867
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31868
329k
    {AliasPatternCond_K_RegClass, AArch64_DDRegClassID},
31869
329k
    {AliasPatternCond_K_Ignore, 0},
31870
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31871
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31872
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31873
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31874
    // (ST2Twov4s_POST GPR64sp:$Rn, VecListTwo4s:$Vt, XZR) - 5730
31875
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31876
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
31877
329k
    {AliasPatternCond_K_Ignore, 0},
31878
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31879
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31880
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31881
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31882
    // (ST2Twov8b_POST GPR64sp:$Rn, VecListTwo8b:$Vt, XZR) - 5737
31883
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31884
329k
    {AliasPatternCond_K_RegClass, AArch64_DDRegClassID},
31885
329k
    {AliasPatternCond_K_Ignore, 0},
31886
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31887
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31888
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31889
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31890
    // (ST2Twov8h_POST GPR64sp:$Rn, VecListTwo8h:$Vt, XZR) - 5744
31891
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31892
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
31893
329k
    {AliasPatternCond_K_Ignore, 0},
31894
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31895
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31896
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31897
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31898
    // (ST2W_IMM ZZ_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 5751
31899
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2RegClassID},
31900
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31901
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31902
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31903
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31904
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31905
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31906
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31907
    // (ST2i16_POST GPR64sp:$Rn, VecListTwoh:$Vt, VectorIndexH:$idx, XZR) - 5759
31908
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31909
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
31910
329k
    {AliasPatternCond_K_Ignore, 0},
31911
329k
    {AliasPatternCond_K_Ignore, 0},
31912
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31913
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31914
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31915
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31916
    // (ST2i32_POST GPR64sp:$Rn, VecListTwos:$Vt, VectorIndexS:$idx, XZR) - 5767
31917
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31918
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
31919
329k
    {AliasPatternCond_K_Ignore, 0},
31920
329k
    {AliasPatternCond_K_Ignore, 0},
31921
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31922
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31923
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31924
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31925
    // (ST2i64_POST GPR64sp:$Rn, VecListTwod:$Vt, VectorIndexD:$idx, XZR) - 5775
31926
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31927
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
31928
329k
    {AliasPatternCond_K_Ignore, 0},
31929
329k
    {AliasPatternCond_K_Ignore, 0},
31930
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31931
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31932
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31933
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31934
    // (ST2i8_POST GPR64sp:$Rn, VecListTwob:$Vt, VectorIndexB:$idx, XZR) - 5783
31935
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31936
329k
    {AliasPatternCond_K_RegClass, AArch64_QQRegClassID},
31937
329k
    {AliasPatternCond_K_Ignore, 0},
31938
329k
    {AliasPatternCond_K_Ignore, 0},
31939
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31940
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31941
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31942
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31943
    // (ST3B_IMM ZZZ_b:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 5791
31944
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR3RegClassID},
31945
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31946
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31947
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31948
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31949
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31950
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31951
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31952
    // (ST3D_IMM ZZZ_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 5799
31953
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR3RegClassID},
31954
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31955
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31956
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31957
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31958
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31959
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31960
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31961
    // (ST3H_IMM ZZZ_h:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 5807
31962
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR3RegClassID},
31963
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31964
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31965
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31966
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31967
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
31968
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
31969
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31970
    // (ST3Q_IMM ZZZ_q:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 5815
31971
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR3RegClassID},
31972
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
31973
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31974
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
31975
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31976
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2p1},
31977
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
31978
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31979
    // (ST3Threev16b_POST GPR64sp:$Rn, VecListThree16b:$Vt, XZR) - 5823
31980
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31981
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
31982
329k
    {AliasPatternCond_K_Ignore, 0},
31983
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31984
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31985
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31986
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31987
    // (ST3Threev2d_POST GPR64sp:$Rn, VecListThree2d:$Vt, XZR) - 5830
31988
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31989
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
31990
329k
    {AliasPatternCond_K_Ignore, 0},
31991
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
31992
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
31993
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
31994
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
31995
    // (ST3Threev2s_POST GPR64sp:$Rn, VecListThree2s:$Vt, XZR) - 5837
31996
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
31997
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDRegClassID},
31998
329k
    {AliasPatternCond_K_Ignore, 0},
31999
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32000
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32001
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
32002
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32003
    // (ST3Threev4h_POST GPR64sp:$Rn, VecListThree4h:$Vt, XZR) - 5844
32004
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32005
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDRegClassID},
32006
329k
    {AliasPatternCond_K_Ignore, 0},
32007
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32008
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32009
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
32010
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32011
    // (ST3Threev4s_POST GPR64sp:$Rn, VecListThree4s:$Vt, XZR) - 5851
32012
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32013
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
32014
329k
    {AliasPatternCond_K_Ignore, 0},
32015
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32016
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32017
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
32018
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32019
    // (ST3Threev8b_POST GPR64sp:$Rn, VecListThree8b:$Vt, XZR) - 5858
32020
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32021
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDRegClassID},
32022
329k
    {AliasPatternCond_K_Ignore, 0},
32023
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32024
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32025
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
32026
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32027
    // (ST3Threev8h_POST GPR64sp:$Rn, VecListThree8h:$Vt, XZR) - 5865
32028
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32029
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
32030
329k
    {AliasPatternCond_K_Ignore, 0},
32031
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32032
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32033
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
32034
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32035
    // (ST3W_IMM ZZZ_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 5872
32036
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR3RegClassID},
32037
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
32038
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32039
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32040
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32041
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
32042
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
32043
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32044
    // (ST3i16_POST GPR64sp:$Rn, VecListThreeh:$Vt, VectorIndexH:$idx, XZR) - 5880
32045
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32046
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
32047
329k
    {AliasPatternCond_K_Ignore, 0},
32048
329k
    {AliasPatternCond_K_Ignore, 0},
32049
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32050
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32051
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
32052
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32053
    // (ST3i32_POST GPR64sp:$Rn, VecListThrees:$Vt, VectorIndexS:$idx, XZR) - 5888
32054
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32055
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
32056
329k
    {AliasPatternCond_K_Ignore, 0},
32057
329k
    {AliasPatternCond_K_Ignore, 0},
32058
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32059
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32060
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
32061
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32062
    // (ST3i64_POST GPR64sp:$Rn, VecListThreed:$Vt, VectorIndexD:$idx, XZR) - 5896
32063
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32064
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
32065
329k
    {AliasPatternCond_K_Ignore, 0},
32066
329k
    {AliasPatternCond_K_Ignore, 0},
32067
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32068
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32069
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
32070
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32071
    // (ST3i8_POST GPR64sp:$Rn, VecListThreeb:$Vt, VectorIndexB:$idx, XZR) - 5904
32072
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32073
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQRegClassID},
32074
329k
    {AliasPatternCond_K_Ignore, 0},
32075
329k
    {AliasPatternCond_K_Ignore, 0},
32076
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32077
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32078
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
32079
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32080
    // (ST4B_IMM ZZZZ_b:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 5912
32081
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4RegClassID},
32082
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
32083
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32084
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32085
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32086
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
32087
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
32088
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32089
    // (ST4D_IMM ZZZZ_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 5920
32090
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4RegClassID},
32091
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
32092
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32093
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32094
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32095
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
32096
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
32097
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32098
    // (ST4Fourv16b_POST GPR64sp:$Rn, VecListFour16b:$Vt, XZR) - 5928
32099
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32100
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
32101
329k
    {AliasPatternCond_K_Ignore, 0},
32102
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32103
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32104
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
32105
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32106
    // (ST4Fourv2d_POST GPR64sp:$Rn, VecListFour2d:$Vt, XZR) - 5935
32107
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32108
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
32109
329k
    {AliasPatternCond_K_Ignore, 0},
32110
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32111
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32112
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
32113
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32114
    // (ST4Fourv2s_POST GPR64sp:$Rn, VecListFour2s:$Vt, XZR) - 5942
32115
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32116
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDDRegClassID},
32117
329k
    {AliasPatternCond_K_Ignore, 0},
32118
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32119
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32120
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
32121
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32122
    // (ST4Fourv4h_POST GPR64sp:$Rn, VecListFour4h:$Vt, XZR) - 5949
32123
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32124
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDDRegClassID},
32125
329k
    {AliasPatternCond_K_Ignore, 0},
32126
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32127
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32128
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
32129
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32130
    // (ST4Fourv4s_POST GPR64sp:$Rn, VecListFour4s:$Vt, XZR) - 5956
32131
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32132
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
32133
329k
    {AliasPatternCond_K_Ignore, 0},
32134
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32135
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32136
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
32137
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32138
    // (ST4Fourv8b_POST GPR64sp:$Rn, VecListFour8b:$Vt, XZR) - 5963
32139
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32140
329k
    {AliasPatternCond_K_RegClass, AArch64_DDDDRegClassID},
32141
329k
    {AliasPatternCond_K_Ignore, 0},
32142
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32143
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32144
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
32145
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32146
    // (ST4Fourv8h_POST GPR64sp:$Rn, VecListFour8h:$Vt, XZR) - 5970
32147
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32148
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
32149
329k
    {AliasPatternCond_K_Ignore, 0},
32150
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32151
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32152
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
32153
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32154
    // (ST4H_IMM ZZZZ_h:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 5977
32155
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4RegClassID},
32156
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
32157
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32158
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32159
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32160
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
32161
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
32162
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32163
    // (ST4Q_IMM ZZZZ_q:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 5985
32164
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4RegClassID},
32165
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
32166
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32167
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32168
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32169
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2p1},
32170
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
32171
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32172
    // (ST4W_IMM ZZZZ_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 5993
32173
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4RegClassID},
32174
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
32175
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32176
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32177
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32178
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
32179
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
32180
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32181
    // (ST4i16_POST GPR64sp:$Rn, VecListFourh:$Vt, VectorIndexH:$idx, XZR) - 6001
32182
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32183
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
32184
329k
    {AliasPatternCond_K_Ignore, 0},
32185
329k
    {AliasPatternCond_K_Ignore, 0},
32186
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32187
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32188
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
32189
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32190
    // (ST4i32_POST GPR64sp:$Rn, VecListFours:$Vt, VectorIndexS:$idx, XZR) - 6009
32191
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32192
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
32193
329k
    {AliasPatternCond_K_Ignore, 0},
32194
329k
    {AliasPatternCond_K_Ignore, 0},
32195
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32196
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32197
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
32198
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32199
    // (ST4i64_POST GPR64sp:$Rn, VecListFourd:$Vt, VectorIndexD:$idx, XZR) - 6017
32200
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32201
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
32202
329k
    {AliasPatternCond_K_Ignore, 0},
32203
329k
    {AliasPatternCond_K_Ignore, 0},
32204
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32205
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32206
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
32207
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32208
    // (ST4i8_POST GPR64sp:$Rn, VecListFourb:$Vt, VectorIndexB:$idx, XZR) - 6025
32209
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32210
329k
    {AliasPatternCond_K_RegClass, AArch64_QQQQRegClassID},
32211
329k
    {AliasPatternCond_K_Ignore, 0},
32212
329k
    {AliasPatternCond_K_Ignore, 0},
32213
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32214
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32215
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
32216
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32217
    // (STGPi GPR64z:$Rt, GPR64z:$Rt2, GPR64sp:$Rn, 0) - 6033
32218
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32219
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32220
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32221
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32222
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32223
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureMTE},
32224
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32225
    // (STGi GPR64sp:$Rt, GPR64sp:$Rn, 0) - 6040
32226
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32227
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32228
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32229
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32230
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureMTE},
32231
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32232
    // (STLURBi GPR32:$Rt, GPR64sp:$Rn, 0) - 6046
32233
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32234
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32235
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32236
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32237
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureRCPC_IMMO},
32238
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32239
    // (STLURHi GPR32:$Rt, GPR64sp:$Rn, 0) - 6052
32240
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32241
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32242
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32243
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32244
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureRCPC_IMMO},
32245
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32246
    // (STLURWi GPR32:$Rt, GPR64sp:$Rn, 0) - 6058
32247
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32248
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32249
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32250
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32251
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureRCPC_IMMO},
32252
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32253
    // (STLURXi GPR64:$Rt, GPR64sp:$Rn, 0) - 6064
32254
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32255
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32256
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32257
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32258
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureRCPC_IMMO},
32259
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32260
    // (STLURbi FPR8:$Rt, GPR64sp:$Rn, 0) - 6070
32261
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR8RegClassID},
32262
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32263
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32264
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32265
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureRCPC3},
32266
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32267
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32268
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
32269
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32270
    // (STLURdi FPR64:$Rt, GPR64sp:$Rn, 0) - 6079
32271
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
32272
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32273
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32274
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32275
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureRCPC3},
32276
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32277
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32278
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
32279
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32280
    // (STLURhi FPR16:$Rt, GPR64sp:$Rn, 0) - 6088
32281
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR16RegClassID},
32282
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32283
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32284
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32285
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureRCPC3},
32286
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32287
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32288
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
32289
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32290
    // (STLURqi FPR128:$Rt, GPR64sp:$Rn, 0) - 6097
32291
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
32292
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32293
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32294
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32295
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureRCPC3},
32296
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32297
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32298
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
32299
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32300
    // (STLURsi FPR32:$Rt, GPR64sp:$Rn, 0) - 6106
32301
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR32RegClassID},
32302
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32303
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32304
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32305
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureRCPC3},
32306
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32307
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32308
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
32309
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32310
    // (STNPDi FPR64Op:$Rt, FPR64Op:$Rt2, GPR64sp:$Rn, 0) - 6115
32311
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
32312
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
32313
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32314
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32315
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32316
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
32317
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32318
    // (STNPQi FPR128Op:$Rt, FPR128Op:$Rt2, GPR64sp:$Rn, 0) - 6122
32319
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
32320
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
32321
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32322
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32323
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32324
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
32325
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32326
    // (STNPSi FPR32Op:$Rt, FPR32Op:$Rt2, GPR64sp:$Rn, 0) - 6129
32327
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR32RegClassID},
32328
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR32RegClassID},
32329
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32330
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32331
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32332
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
32333
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32334
    // (STNPWi GPR32z:$Rt, GPR32z:$Rt2, GPR64sp:$Rn, 0) - 6136
32335
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32336
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32337
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32338
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32339
    // (STNPXi GPR64z:$Rt, GPR64z:$Rt2, GPR64sp:$Rn, 0) - 6140
32340
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32341
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32342
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32343
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32344
    // (STNT1B_2Z_IMM ZZ_b_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 6144
32345
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
32346
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
32347
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32348
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32349
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32350
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
32351
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
32352
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32353
    // (STNT1B_2Z_STRIDED_IMM ZZ_b_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 6152
32354
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2StridedRegClassID},
32355
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
32356
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32357
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32358
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32359
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
32360
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32361
    // (STNT1B_4Z_IMM ZZZZ_b_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 6159
32362
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
32363
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
32364
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32365
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32366
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32367
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
32368
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
32369
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32370
    // (STNT1B_4Z_STRIDED_IMM ZZZZ_b_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 6167
32371
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4StridedRegClassID},
32372
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
32373
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32374
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32375
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32376
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
32377
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32378
    // (STNT1B_ZRI Z_b:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 6174
32379
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
32380
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
32381
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32382
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32383
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32384
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
32385
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
32386
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32387
    // (STNT1B_ZZR_D_REAL Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, XZR) - 6182
32388
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
32389
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
32390
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
32391
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32392
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32393
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2},
32394
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32395
    // (STNT1B_ZZR_S_REAL Z_s:$Zt, PPR3bAny:$Pg, ZPR32:$Zn, XZR) - 6189
32396
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
32397
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
32398
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
32399
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32400
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32401
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2},
32402
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32403
    // (STNT1D_2Z_IMM ZZ_d_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 6196
32404
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
32405
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
32406
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32407
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32408
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32409
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
32410
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
32411
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32412
    // (STNT1D_2Z_STRIDED_IMM ZZ_d_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 6204
32413
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2StridedRegClassID},
32414
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
32415
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32416
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32417
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32418
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
32419
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32420
    // (STNT1D_4Z_IMM ZZZZ_d_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 6211
32421
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
32422
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
32423
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32424
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32425
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32426
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
32427
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
32428
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32429
    // (STNT1D_4Z_STRIDED_IMM ZZZZ_d_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 6219
32430
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4StridedRegClassID},
32431
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
32432
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32433
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32434
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32435
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
32436
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32437
    // (STNT1D_ZRI Z_d:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 6226
32438
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
32439
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
32440
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32441
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32442
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32443
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
32444
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
32445
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32446
    // (STNT1D_ZZR_D_REAL Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, XZR) - 6234
32447
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
32448
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
32449
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
32450
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32451
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32452
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2},
32453
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32454
    // (STNT1H_2Z_IMM ZZ_h_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 6241
32455
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
32456
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
32457
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32458
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32459
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32460
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
32461
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
32462
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32463
    // (STNT1H_2Z_STRIDED_IMM ZZ_h_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 6249
32464
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2StridedRegClassID},
32465
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
32466
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32467
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32468
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32469
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
32470
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32471
    // (STNT1H_4Z_IMM ZZZZ_h_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 6256
32472
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
32473
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
32474
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32475
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32476
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32477
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
32478
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
32479
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32480
    // (STNT1H_4Z_STRIDED_IMM ZZZZ_h_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 6264
32481
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4StridedRegClassID},
32482
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
32483
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32484
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32485
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32486
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
32487
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32488
    // (STNT1H_ZRI Z_h:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 6271
32489
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
32490
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
32491
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32492
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32493
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32494
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
32495
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
32496
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32497
    // (STNT1H_ZZR_D_REAL Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, XZR) - 6279
32498
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
32499
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
32500
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
32501
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32502
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32503
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2},
32504
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32505
    // (STNT1H_ZZR_S_REAL Z_s:$Zt, PPR3bAny:$Pg, ZPR32:$Zn, XZR) - 6286
32506
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
32507
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
32508
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
32509
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32510
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32511
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2},
32512
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32513
    // (STNT1W_2Z_IMM ZZ_s_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 6293
32514
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2Mul2RegClassID},
32515
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
32516
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32517
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32518
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32519
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
32520
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
32521
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32522
    // (STNT1W_2Z_STRIDED_IMM ZZ_s_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 6301
32523
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR2StridedRegClassID},
32524
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
32525
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32526
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32527
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32528
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
32529
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32530
    // (STNT1W_4Z_IMM ZZZZ_s_mul_r:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 6308
32531
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4Mul4RegClassID},
32532
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
32533
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32534
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32535
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32536
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
32537
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2p1},
32538
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32539
    // (STNT1W_4Z_STRIDED_IMM ZZZZ_s_strided:$Zt, PNRAny_p8to15:$PNg, GPR64sp:$Rn, 0) - 6316
32540
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPR4StridedRegClassID},
32541
329k
    {AliasPatternCond_K_RegClass, AArch64_PNR_p8to15RegClassID},
32542
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32543
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32544
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32545
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME2},
32546
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32547
    // (STNT1W_ZRI Z_s:$Zt, PPR3bAny:$Pg, GPR64sp:$Rn, 0) - 6323
32548
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
32549
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
32550
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32551
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32552
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32553
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
32554
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
32555
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32556
    // (STNT1W_ZZR_D_REAL Z_d:$Zt, PPR3bAny:$Pg, ZPR64:$Zn, XZR) - 6331
32557
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
32558
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
32559
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
32560
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32561
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32562
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2},
32563
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32564
    // (STNT1W_ZZR_S_REAL Z_s:$Zt, PPR3bAny:$Pg, ZPR32:$Zn, XZR) - 6338
32565
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
32566
329k
    {AliasPatternCond_K_RegClass, AArch64_PPR_3bRegClassID},
32567
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
32568
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32569
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32570
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE2},
32571
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32572
    // (STPDi FPR64Op:$Rt, FPR64Op:$Rt2, GPR64sp:$Rn, 0) - 6345
32573
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
32574
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
32575
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32576
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32577
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32578
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
32579
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32580
    // (STPQi FPR128Op:$Rt, FPR128Op:$Rt2, GPR64sp:$Rn, 0) - 6352
32581
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
32582
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
32583
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32584
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32585
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32586
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
32587
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32588
    // (STPSi FPR32Op:$Rt, FPR32Op:$Rt2, GPR64sp:$Rn, 0) - 6359
32589
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR32RegClassID},
32590
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR32RegClassID},
32591
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32592
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32593
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32594
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
32595
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32596
    // (STPWi GPR32z:$Rt, GPR32z:$Rt2, GPR64sp:$Rn, 0) - 6366
32597
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32598
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32599
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32600
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32601
    // (STPXi GPR64z:$Rt, GPR64z:$Rt2, GPR64sp:$Rn, 0) - 6370
32602
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32603
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32604
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32605
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32606
    // (STRBBroX GPR32:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0) - 6374
32607
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32608
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32609
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32610
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32611
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32612
    // (STRBBui GPR32z:$Rt, GPR64sp:$Rn, 0) - 6379
32613
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32614
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32615
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32616
    // (STRBroX FPR8Op:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0) - 6382
32617
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR8RegClassID},
32618
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32619
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32620
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32621
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32622
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32623
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
32624
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32625
    // (STRBui FPR8Op:$Rt, GPR64sp:$Rn, 0) - 6390
32626
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR8RegClassID},
32627
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32628
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32629
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32630
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
32631
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32632
    // (STRDroX FPR64Op:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0) - 6396
32633
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
32634
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32635
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32636
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32637
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32638
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32639
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
32640
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32641
    // (STRDui FPR64Op:$Rt, GPR64sp:$Rn, 0) - 6404
32642
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
32643
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32644
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32645
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32646
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
32647
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32648
    // (STRHHroX GPR32:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0) - 6410
32649
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32650
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32651
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32652
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32653
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32654
    // (STRHHui GPR32z:$Rt, GPR64sp:$Rn, 0) - 6415
32655
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32656
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32657
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32658
    // (STRHroX FPR16Op:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0) - 6418
32659
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR16RegClassID},
32660
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32661
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32662
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32663
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32664
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32665
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
32666
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32667
    // (STRHui FPR16Op:$Rt, GPR64sp:$Rn, 0) - 6426
32668
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR16RegClassID},
32669
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32670
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32671
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32672
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
32673
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32674
    // (STRQroX FPR128Op:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0) - 6432
32675
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
32676
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32677
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32678
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32679
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32680
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32681
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
32682
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32683
    // (STRQui FPR128Op:$Rt, GPR64sp:$Rn, 0) - 6440
32684
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
32685
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32686
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32687
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32688
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
32689
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32690
    // (STRSroX FPR32Op:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0) - 6446
32691
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR32RegClassID},
32692
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32693
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32694
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32695
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32696
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32697
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
32698
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32699
    // (STRSui FPR32Op:$Rt, GPR64sp:$Rn, 0) - 6454
32700
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR32RegClassID},
32701
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32702
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32703
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32704
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
32705
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32706
    // (STRWroX GPR32:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0) - 6460
32707
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32708
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32709
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32710
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32711
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32712
    // (STRWui GPR32z:$Rt, GPR64sp:$Rn, 0) - 6465
32713
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32714
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32715
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32716
    // (STRXroX GPR64:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0) - 6468
32717
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32718
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32719
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32720
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32721
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32722
    // (STRXui GPR64z:$Rt, GPR64sp:$Rn, 0) - 6473
32723
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32724
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32725
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32726
    // (STR_PXI PPRAny:$Pt, GPR64sp:$Rn, 0) - 6476
32727
329k
    {AliasPatternCond_K_RegClass, AArch64_PPRRegClassID},
32728
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32729
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32730
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32731
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
32732
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
32733
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32734
    // (STR_ZA MatrixOp:$ZAt, MatrixIndexGPR32Op12_15:$Rv, sme_elm_idx0_15:$imm4, GPR64sp:$Rn, 0) - 6483
32735
329k
    {AliasPatternCond_K_RegClass, AArch64_MPRRegClassID},
32736
329k
    {AliasPatternCond_K_RegClass, AArch64_MatrixIndexGPR32_12_15RegClassID},
32737
329k
    {AliasPatternCond_K_Ignore, 0},
32738
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32739
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32740
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32741
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
32742
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32743
    // (STR_ZXI ZPRAny:$Zt, GPR64sp:$Rn, 0) - 6491
32744
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
32745
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32746
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32747
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32748
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
32749
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
32750
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32751
    // (STTRBi GPR32:$Rt, GPR64sp:$Rn, 0) - 6498
32752
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32753
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32754
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32755
    // (STTRHi GPR32:$Rt, GPR64sp:$Rn, 0) - 6501
32756
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32757
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32758
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32759
    // (STTRWi GPR32:$Rt, GPR64sp:$Rn, 0) - 6504
32760
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32761
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32762
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32763
    // (STTRXi GPR64:$Rt, GPR64sp:$Rn, 0) - 6507
32764
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32765
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32766
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32767
    // (STURBBi GPR32z:$Rt, GPR64sp:$Rn, 0) - 6510
32768
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32769
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32770
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32771
    // (STURBi FPR8Op:$Rt, GPR64sp:$Rn, 0) - 6513
32772
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR8RegClassID},
32773
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32774
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32775
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32776
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
32777
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32778
    // (STURDi FPR64Op:$Rt, GPR64sp:$Rn, 0) - 6519
32779
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR64RegClassID},
32780
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32781
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32782
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32783
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
32784
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32785
    // (STURHHi GPR32z:$Rt, GPR64sp:$Rn, 0) - 6525
32786
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32787
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32788
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32789
    // (STURHi FPR16Op:$Rt, GPR64sp:$Rn, 0) - 6528
32790
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR16RegClassID},
32791
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32792
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32793
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32794
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
32795
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32796
    // (STURQi FPR128Op:$Rt, GPR64sp:$Rn, 0) - 6534
32797
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
32798
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32799
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32800
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32801
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
32802
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32803
    // (STURSi FPR32Op:$Rt, GPR64sp:$Rn, 0) - 6540
32804
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR32RegClassID},
32805
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32806
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32807
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32808
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureFPARMv8},
32809
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32810
    // (STURWi GPR32z:$Rt, GPR64sp:$Rn, 0) - 6546
32811
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32812
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32813
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32814
    // (STURXi GPR64z:$Rt, GPR64sp:$Rn, 0) - 6549
32815
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32816
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32817
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32818
    // (STZ2Gi GPR64sp:$Rt, GPR64sp:$Rn, 0) - 6552
32819
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32820
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32821
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32822
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32823
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureMTE},
32824
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32825
    // (STZGi GPR64sp:$Rt, GPR64sp:$Rn, 0) - 6558
32826
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32827
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32828
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32829
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32830
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureMTE},
32831
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32832
    // (SUBPT_shift GPR64sp:$Rd, GPR64sp:$Rn, GPR64:$Rm, 0) - 6564
32833
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32834
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32835
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32836
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32837
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32838
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureCPA},
32839
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32840
    // (SUBSWri WZR, GPR32sp:$src, addsub_shifted_imm32:$imm) - 6571
32841
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
32842
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32spRegClassID},
32843
    // (SUBSWrs WZR, GPR32:$src1, GPR32:$src2, 0) - 6573
32844
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
32845
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32846
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32847
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32848
    // (SUBSWrs WZR, GPR32:$src1, GPR32:$src2, arith_shift32:$sh) - 6577
32849
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
32850
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32851
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32852
    // (SUBSWrs GPR32:$dst, WZR, GPR32:$src, 0) - 6580
32853
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32854
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
32855
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32856
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32857
    // (SUBSWrs GPR32:$dst, WZR, GPR32:$src, arith_shift32:$shift) - 6584
32858
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32859
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
32860
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32861
    // (SUBSWrs GPR32:$dst, GPR32:$src1, GPR32:$src2, 0) - 6587
32862
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32863
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32864
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32865
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32866
    // (SUBSWrx WZR, GPR32sponly:$src1, GPR32:$src2, 16) - 6591
32867
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
32868
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32sponlyRegClassID},
32869
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32870
329k
    {AliasPatternCond_K_Imm, (uint32_t)16},
32871
    // (SUBSWrx WZR, GPR32sp:$src1, GPR32:$src2, arith_extend:$sh) - 6595
32872
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
32873
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32spRegClassID},
32874
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32875
    // (SUBSWrx GPR32:$dst, GPR32sponly:$src1, GPR32:$src2, 16) - 6598
32876
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32877
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32sponlyRegClassID},
32878
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32879
329k
    {AliasPatternCond_K_Imm, (uint32_t)16},
32880
    // (SUBSXri XZR, GPR64sp:$src, addsub_shifted_imm64:$imm) - 6602
32881
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32882
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32883
    // (SUBSXrs XZR, GPR64:$src1, GPR64:$src2, 0) - 6604
32884
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32885
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32886
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32887
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32888
    // (SUBSXrs XZR, GPR64:$src1, GPR64:$src2, arith_shift64:$sh) - 6608
32889
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32890
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32891
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32892
    // (SUBSXrs GPR64:$dst, XZR, GPR64:$src, 0) - 6611
32893
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32894
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32895
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32896
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32897
    // (SUBSXrs GPR64:$dst, XZR, GPR64:$src, arith_shift64:$shift) - 6615
32898
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32899
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32900
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32901
    // (SUBSXrs GPR64:$dst, GPR64:$src1, GPR64:$src2, 0) - 6618
32902
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32903
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32904
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32905
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32906
    // (SUBSXrx XZR, GPR64sp:$src1, GPR32:$src2, arith_extend:$sh) - 6622
32907
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32908
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32909
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32910
    // (SUBSXrx64 XZR, GPR64sponly:$src1, GPR64:$src2, 24) - 6625
32911
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32912
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64sponlyRegClassID},
32913
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32914
329k
    {AliasPatternCond_K_Imm, (uint32_t)24},
32915
    // (SUBSXrx64 XZR, GPR64sp:$src1, GPR64:$src2, arith_extendlsl64:$sh) - 6629
32916
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32917
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32918
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32919
    // (SUBSXrx64 GPR64:$dst, GPR64sponly:$src1, GPR64:$src2, 24) - 6632
32920
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32921
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64sponlyRegClassID},
32922
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32923
329k
    {AliasPatternCond_K_Imm, (uint32_t)24},
32924
    // (SUBWrs GPR32:$dst, WZR, GPR32:$src, 0) - 6636
32925
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32926
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
32927
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32928
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32929
    // (SUBWrs GPR32:$dst, WZR, GPR32:$src, arith_shift32:$shift) - 6640
32930
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32931
329k
    {AliasPatternCond_K_Reg, AArch64_WZR},
32932
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32933
    // (SUBWrs GPR32:$dst, GPR32:$src1, GPR32:$src2, 0) - 6643
32934
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32935
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32936
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32937
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32938
    // (SUBWrx GPR32sponly:$dst, GPR32sp:$src1, GPR32:$src2, 16) - 6647
32939
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32sponlyRegClassID},
32940
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32spRegClassID},
32941
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32942
329k
    {AliasPatternCond_K_Imm, (uint32_t)16},
32943
    // (SUBWrx GPR32sp:$dst, GPR32sponly:$src1, GPR32:$src2, 16) - 6651
32944
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32spRegClassID},
32945
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32sponlyRegClassID},
32946
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32947
329k
    {AliasPatternCond_K_Imm, (uint32_t)16},
32948
    // (SUBXrs GPR64:$dst, XZR, GPR64:$src, 0) - 6655
32949
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32950
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32951
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32952
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32953
    // (SUBXrs GPR64:$dst, XZR, GPR64:$src, arith_shift64:$shift) - 6659
32954
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32955
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32956
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32957
    // (SUBXrs GPR64:$dst, GPR64:$src1, GPR64:$src2, 0) - 6662
32958
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32959
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32960
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32961
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32962
    // (SUBXrx64 GPR64sponly:$dst, GPR64sp:$src1, GPR64:$src2, 24) - 6666
32963
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64sponlyRegClassID},
32964
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32965
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32966
329k
    {AliasPatternCond_K_Imm, (uint32_t)24},
32967
    // (SUBXrx64 GPR64sp:$dst, GPR64sponly:$src1, GPR64:$src2, 24) - 6670
32968
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64spRegClassID},
32969
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64sponlyRegClassID},
32970
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
32971
329k
    {AliasPatternCond_K_Imm, (uint32_t)24},
32972
    // (SYSPxt_XZR imm0_7:$op1, sys_cr_op:$Cn, sys_cr_op:$Cm, imm0_7:$op2, XZR) - 6674
32973
329k
    {AliasPatternCond_K_Ignore, 0},
32974
329k
    {AliasPatternCond_K_Ignore, 0},
32975
329k
    {AliasPatternCond_K_Ignore, 0},
32976
329k
    {AliasPatternCond_K_Ignore, 0},
32977
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32978
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
32979
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureD128},
32980
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
32981
    // (SYSxt imm0_7:$op1, sys_cr_op:$Cn, sys_cr_op:$Cm, imm0_7:$op2, XZR) - 6682
32982
329k
    {AliasPatternCond_K_Ignore, 0},
32983
329k
    {AliasPatternCond_K_Ignore, 0},
32984
329k
    {AliasPatternCond_K_Ignore, 0},
32985
329k
    {AliasPatternCond_K_Ignore, 0},
32986
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
32987
    // (UBFMWri GPR32:$dst, GPR32:$src, imm0_31:$shift, 31) - 6687
32988
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32989
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32990
329k
    {AliasPatternCond_K_Ignore, 0},
32991
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
32992
    // (UBFMWri GPR32:$dst, GPR32:$src, 0, 7) - 6691
32993
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32994
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32995
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
32996
329k
    {AliasPatternCond_K_Imm, (uint32_t)7},
32997
    // (UBFMWri GPR32:$dst, GPR32:$src, 0, 15) - 6695
32998
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
32999
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
33000
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
33001
329k
    {AliasPatternCond_K_Imm, (uint32_t)15},
33002
    // (UBFMXri GPR64:$dst, GPR64:$src, imm0_63:$shift, 63) - 6699
33003
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33004
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33005
329k
    {AliasPatternCond_K_Ignore, 0},
33006
329k
    {AliasPatternCond_K_Imm, (uint32_t)63},
33007
    // (UBFMXri GPR64:$dst, GPR64:$src, 0, 7) - 6703
33008
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33009
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33010
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
33011
329k
    {AliasPatternCond_K_Imm, (uint32_t)7},
33012
    // (UBFMXri GPR64:$dst, GPR64:$src, 0, 15) - 6707
33013
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33014
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33015
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
33016
329k
    {AliasPatternCond_K_Imm, (uint32_t)15},
33017
    // (UBFMXri GPR64:$dst, GPR64:$src, 0, 31) - 6711
33018
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33019
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33020
329k
    {AliasPatternCond_K_Imm, (uint32_t)0},
33021
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
33022
    // (UMADDLrrr GPR64:$dst, GPR32:$src1, GPR32:$src2, XZR) - 6715
33023
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33024
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
33025
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
33026
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
33027
    // (UMOVvi32 GPR32:$dst, V128:$src, VectorIndexS:$idx) - 6719
33028
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
33029
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
33030
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33031
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
33032
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33033
    // (UMOVvi32_idx0 GPR32:$dst, V128:$src, VectorIndex0:$idx) - 6724
33034
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
33035
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
33036
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33037
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
33038
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33039
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33040
    // (UMOVvi64 GPR64:$dst, V128:$src, VectorIndexD:$idx) - 6730
33041
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33042
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
33043
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33044
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
33045
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33046
    // (UMOVvi64_idx0 GPR64:$dst, V128:$src, VectorIndex0:$idx) - 6735
33047
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33048
329k
    {AliasPatternCond_K_RegClass, AArch64_FPR128RegClassID},
33049
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33050
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureNEON},
33051
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33052
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33053
    // (UMSUBLrrr GPR64:$dst, GPR32:$src1, GPR32:$src2, XZR) - 6741
33054
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33055
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
33056
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
33057
329k
    {AliasPatternCond_K_Reg, AArch64_XZR},
33058
    // (UQDECB_WPiI GPR32z:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 6745
33059
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
33060
329k
    {AliasPatternCond_K_Ignore, 0},
33061
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
33062
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33063
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33064
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33065
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33066
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33067
    // (UQDECB_WPiI GPR32z:$Rdn, sve_pred_enum:$pattern, 1) - 6753
33068
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
33069
329k
    {AliasPatternCond_K_Ignore, 0},
33070
329k
    {AliasPatternCond_K_Ignore, 0},
33071
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33072
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33073
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33074
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33075
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33076
    // (UQDECB_XPiI GPR64z:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 6761
33077
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33078
329k
    {AliasPatternCond_K_Ignore, 0},
33079
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
33080
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33081
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33082
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33083
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33084
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33085
    // (UQDECB_XPiI GPR64z:$Rdn, sve_pred_enum:$pattern, 1) - 6769
33086
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33087
329k
    {AliasPatternCond_K_Ignore, 0},
33088
329k
    {AliasPatternCond_K_Ignore, 0},
33089
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33090
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33091
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33092
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33093
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33094
    // (UQDECD_WPiI GPR32z:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 6777
33095
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
33096
329k
    {AliasPatternCond_K_Ignore, 0},
33097
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
33098
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33099
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33100
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33101
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33102
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33103
    // (UQDECD_WPiI GPR32z:$Rdn, sve_pred_enum:$pattern, 1) - 6785
33104
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
33105
329k
    {AliasPatternCond_K_Ignore, 0},
33106
329k
    {AliasPatternCond_K_Ignore, 0},
33107
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33108
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33109
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33110
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33111
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33112
    // (UQDECD_XPiI GPR64z:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 6793
33113
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33114
329k
    {AliasPatternCond_K_Ignore, 0},
33115
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
33116
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33117
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33118
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33119
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33120
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33121
    // (UQDECD_XPiI GPR64z:$Rdn, sve_pred_enum:$pattern, 1) - 6801
33122
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33123
329k
    {AliasPatternCond_K_Ignore, 0},
33124
329k
    {AliasPatternCond_K_Ignore, 0},
33125
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33126
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33127
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33128
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33129
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33130
    // (UQDECD_ZPiI ZPR64:$Zdn, { 1, 1, 1, 1, 1 }, 1) - 6809
33131
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
33132
329k
    {AliasPatternCond_K_Ignore, 0},
33133
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
33134
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33135
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33136
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33137
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33138
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33139
    // (UQDECD_ZPiI ZPR64:$Zdn, sve_pred_enum:$pattern, 1) - 6817
33140
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
33141
329k
    {AliasPatternCond_K_Ignore, 0},
33142
329k
    {AliasPatternCond_K_Ignore, 0},
33143
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33144
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33145
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33146
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33147
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33148
    // (UQDECH_WPiI GPR32z:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 6825
33149
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
33150
329k
    {AliasPatternCond_K_Ignore, 0},
33151
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
33152
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33153
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33154
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33155
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33156
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33157
    // (UQDECH_WPiI GPR32z:$Rdn, sve_pred_enum:$pattern, 1) - 6833
33158
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
33159
329k
    {AliasPatternCond_K_Ignore, 0},
33160
329k
    {AliasPatternCond_K_Ignore, 0},
33161
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33162
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33163
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33164
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33165
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33166
    // (UQDECH_XPiI GPR64z:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 6841
33167
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33168
329k
    {AliasPatternCond_K_Ignore, 0},
33169
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
33170
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33171
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33172
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33173
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33174
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33175
    // (UQDECH_XPiI GPR64z:$Rdn, sve_pred_enum:$pattern, 1) - 6849
33176
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33177
329k
    {AliasPatternCond_K_Ignore, 0},
33178
329k
    {AliasPatternCond_K_Ignore, 0},
33179
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33180
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33181
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33182
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33183
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33184
    // (UQDECH_ZPiI ZPR16:$Zdn, { 1, 1, 1, 1, 1 }, 1) - 6857
33185
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
33186
329k
    {AliasPatternCond_K_Ignore, 0},
33187
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
33188
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33189
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33190
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33191
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33192
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33193
    // (UQDECH_ZPiI ZPR16:$Zdn, sve_pred_enum:$pattern, 1) - 6865
33194
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
33195
329k
    {AliasPatternCond_K_Ignore, 0},
33196
329k
    {AliasPatternCond_K_Ignore, 0},
33197
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33198
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33199
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33200
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33201
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33202
    // (UQDECW_WPiI GPR32z:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 6873
33203
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
33204
329k
    {AliasPatternCond_K_Ignore, 0},
33205
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
33206
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33207
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33208
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33209
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33210
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33211
    // (UQDECW_WPiI GPR32z:$Rdn, sve_pred_enum:$pattern, 1) - 6881
33212
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
33213
329k
    {AliasPatternCond_K_Ignore, 0},
33214
329k
    {AliasPatternCond_K_Ignore, 0},
33215
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33216
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33217
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33218
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33219
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33220
    // (UQDECW_XPiI GPR64z:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 6889
33221
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33222
329k
    {AliasPatternCond_K_Ignore, 0},
33223
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
33224
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33225
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33226
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33227
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33228
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33229
    // (UQDECW_XPiI GPR64z:$Rdn, sve_pred_enum:$pattern, 1) - 6897
33230
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33231
329k
    {AliasPatternCond_K_Ignore, 0},
33232
329k
    {AliasPatternCond_K_Ignore, 0},
33233
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33234
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33235
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33236
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33237
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33238
    // (UQDECW_ZPiI ZPR32:$Zdn, { 1, 1, 1, 1, 1 }, 1) - 6905
33239
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
33240
329k
    {AliasPatternCond_K_Ignore, 0},
33241
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
33242
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33243
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33244
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33245
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33246
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33247
    // (UQDECW_ZPiI ZPR32:$Zdn, sve_pred_enum:$pattern, 1) - 6913
33248
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
33249
329k
    {AliasPatternCond_K_Ignore, 0},
33250
329k
    {AliasPatternCond_K_Ignore, 0},
33251
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33252
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33253
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33254
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33255
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33256
    // (UQINCB_WPiI GPR32z:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 6921
33257
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
33258
329k
    {AliasPatternCond_K_Ignore, 0},
33259
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
33260
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33261
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33262
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33263
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33264
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33265
    // (UQINCB_WPiI GPR32z:$Rdn, sve_pred_enum:$pattern, 1) - 6929
33266
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
33267
329k
    {AliasPatternCond_K_Ignore, 0},
33268
329k
    {AliasPatternCond_K_Ignore, 0},
33269
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33270
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33271
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33272
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33273
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33274
    // (UQINCB_XPiI GPR64z:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 6937
33275
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33276
329k
    {AliasPatternCond_K_Ignore, 0},
33277
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
33278
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33279
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33280
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33281
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33282
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33283
    // (UQINCB_XPiI GPR64z:$Rdn, sve_pred_enum:$pattern, 1) - 6945
33284
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33285
329k
    {AliasPatternCond_K_Ignore, 0},
33286
329k
    {AliasPatternCond_K_Ignore, 0},
33287
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33288
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33289
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33290
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33291
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33292
    // (UQINCD_WPiI GPR32z:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 6953
33293
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
33294
329k
    {AliasPatternCond_K_Ignore, 0},
33295
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
33296
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33297
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33298
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33299
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33300
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33301
    // (UQINCD_WPiI GPR32z:$Rdn, sve_pred_enum:$pattern, 1) - 6961
33302
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
33303
329k
    {AliasPatternCond_K_Ignore, 0},
33304
329k
    {AliasPatternCond_K_Ignore, 0},
33305
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33306
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33307
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33308
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33309
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33310
    // (UQINCD_XPiI GPR64z:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 6969
33311
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33312
329k
    {AliasPatternCond_K_Ignore, 0},
33313
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
33314
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33315
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33316
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33317
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33318
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33319
    // (UQINCD_XPiI GPR64z:$Rdn, sve_pred_enum:$pattern, 1) - 6977
33320
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33321
329k
    {AliasPatternCond_K_Ignore, 0},
33322
329k
    {AliasPatternCond_K_Ignore, 0},
33323
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33324
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33325
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33326
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33327
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33328
    // (UQINCD_ZPiI ZPR64:$Zdn, { 1, 1, 1, 1, 1 }, 1) - 6985
33329
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
33330
329k
    {AliasPatternCond_K_Ignore, 0},
33331
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
33332
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33333
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33334
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33335
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33336
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33337
    // (UQINCD_ZPiI ZPR64:$Zdn, sve_pred_enum:$pattern, 1) - 6993
33338
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
33339
329k
    {AliasPatternCond_K_Ignore, 0},
33340
329k
    {AliasPatternCond_K_Ignore, 0},
33341
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33342
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33343
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33344
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33345
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33346
    // (UQINCH_WPiI GPR32z:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 7001
33347
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
33348
329k
    {AliasPatternCond_K_Ignore, 0},
33349
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
33350
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33351
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33352
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33353
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33354
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33355
    // (UQINCH_WPiI GPR32z:$Rdn, sve_pred_enum:$pattern, 1) - 7009
33356
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
33357
329k
    {AliasPatternCond_K_Ignore, 0},
33358
329k
    {AliasPatternCond_K_Ignore, 0},
33359
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33360
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33361
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33362
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33363
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33364
    // (UQINCH_XPiI GPR64z:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 7017
33365
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33366
329k
    {AliasPatternCond_K_Ignore, 0},
33367
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
33368
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33369
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33370
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33371
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33372
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33373
    // (UQINCH_XPiI GPR64z:$Rdn, sve_pred_enum:$pattern, 1) - 7025
33374
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33375
329k
    {AliasPatternCond_K_Ignore, 0},
33376
329k
    {AliasPatternCond_K_Ignore, 0},
33377
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33378
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33379
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33380
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33381
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33382
    // (UQINCH_ZPiI ZPR16:$Zdn, { 1, 1, 1, 1, 1 }, 1) - 7033
33383
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
33384
329k
    {AliasPatternCond_K_Ignore, 0},
33385
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
33386
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33387
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33388
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33389
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33390
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33391
    // (UQINCH_ZPiI ZPR16:$Zdn, sve_pred_enum:$pattern, 1) - 7041
33392
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
33393
329k
    {AliasPatternCond_K_Ignore, 0},
33394
329k
    {AliasPatternCond_K_Ignore, 0},
33395
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33396
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33397
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33398
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33399
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33400
    // (UQINCW_WPiI GPR32z:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 7049
33401
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
33402
329k
    {AliasPatternCond_K_Ignore, 0},
33403
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
33404
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33405
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33406
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33407
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33408
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33409
    // (UQINCW_WPiI GPR32z:$Rdn, sve_pred_enum:$pattern, 1) - 7057
33410
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR32RegClassID},
33411
329k
    {AliasPatternCond_K_Ignore, 0},
33412
329k
    {AliasPatternCond_K_Ignore, 0},
33413
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33414
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33415
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33416
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33417
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33418
    // (UQINCW_XPiI GPR64z:$Rdn, { 1, 1, 1, 1, 1 }, 1) - 7065
33419
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33420
329k
    {AliasPatternCond_K_Ignore, 0},
33421
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
33422
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33423
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33424
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33425
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33426
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33427
    // (UQINCW_XPiI GPR64z:$Rdn, sve_pred_enum:$pattern, 1) - 7073
33428
329k
    {AliasPatternCond_K_RegClass, AArch64_GPR64RegClassID},
33429
329k
    {AliasPatternCond_K_Ignore, 0},
33430
329k
    {AliasPatternCond_K_Ignore, 0},
33431
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33432
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33433
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33434
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33435
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33436
    // (UQINCW_ZPiI ZPR32:$Zdn, { 1, 1, 1, 1, 1 }, 1) - 7081
33437
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
33438
329k
    {AliasPatternCond_K_Ignore, 0},
33439
329k
    {AliasPatternCond_K_Imm, (uint32_t)31},
33440
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33441
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33442
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33443
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33444
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33445
    // (UQINCW_ZPiI ZPR32:$Zdn, sve_pred_enum:$pattern, 1) - 7089
33446
329k
    {AliasPatternCond_K_RegClass, AArch64_ZPRRegClassID},
33447
329k
    {AliasPatternCond_K_Ignore, 0},
33448
329k
    {AliasPatternCond_K_Ignore, 0},
33449
329k
    {AliasPatternCond_K_Imm, (uint32_t)1},
33450
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33451
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSVE},
33452
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33453
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33454
    // (XPACLRI) - 7097
33455
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33456
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeaturePAuth},
33457
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33458
    // (ZERO_M { 1, 1, 1, 1, 1, 1, 1, 1 }) - 7100
33459
329k
    {AliasPatternCond_K_Imm, (uint32_t)255},
33460
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33461
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33462
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33463
    // (ZERO_M { 0, 1, 0, 1, 0, 1, 0, 1 }) - 7104
33464
329k
    {AliasPatternCond_K_Imm, (uint32_t)85},
33465
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33466
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33467
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33468
    // (ZERO_M { 1, 0, 1, 0, 1, 0, 1, 0 }) - 7108
33469
329k
    {AliasPatternCond_K_Imm, (uint32_t)170},
33470
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33471
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33472
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33473
    // (ZERO_M { 0, 0, 0, 1, 0, 0, 0, 1 }) - 7112
33474
329k
    {AliasPatternCond_K_Imm, (uint32_t)17},
33475
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33476
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33477
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33478
    // (ZERO_M { 0, 0, 1, 0, 0, 0, 1, 0 }) - 7116
33479
329k
    {AliasPatternCond_K_Imm, (uint32_t)34},
33480
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33481
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33482
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33483
    // (ZERO_M { 0, 1, 0, 0, 0, 1, 0, 0 }) - 7120
33484
329k
    {AliasPatternCond_K_Imm, (uint32_t)68},
33485
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33486
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33487
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33488
    // (ZERO_M { 1, 0, 0, 0, 1, 0, 0, 0 }) - 7124
33489
329k
    {AliasPatternCond_K_Imm, (uint32_t)136},
33490
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33491
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33492
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33493
    // (ZERO_M { 0, 0, 1, 1, 0, 0, 1, 1 }) - 7128
33494
329k
    {AliasPatternCond_K_Imm, (uint32_t)51},
33495
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33496
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33497
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33498
    // (ZERO_M { 1, 0, 0, 1, 1, 0, 0, 1 }) - 7132
33499
329k
    {AliasPatternCond_K_Imm, (uint32_t)153},
33500
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33501
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33502
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33503
    // (ZERO_M { 0, 1, 1, 0, 0, 1, 1, 0 }) - 7136
33504
329k
    {AliasPatternCond_K_Imm, (uint32_t)102},
33505
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33506
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33507
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33508
    // (ZERO_M { 1, 1, 0, 0, 1, 1, 0, 0 }) - 7140
33509
329k
    {AliasPatternCond_K_Imm, (uint32_t)204},
33510
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33511
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33512
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33513
    // (ZERO_M { 0, 1, 1, 1, 0, 1, 1, 1 }) - 7144
33514
329k
    {AliasPatternCond_K_Imm, (uint32_t)119},
33515
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33516
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33517
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33518
    // (ZERO_M { 1, 0, 1, 1, 1, 0, 1, 1 }) - 7148
33519
329k
    {AliasPatternCond_K_Imm, (uint32_t)187},
33520
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33521
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33522
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33523
    // (ZERO_M { 1, 1, 0, 1, 1, 1, 0, 1 }) - 7152
33524
329k
    {AliasPatternCond_K_Imm, (uint32_t)221},
33525
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33526
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33527
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33528
    // (ZERO_M { 1, 1, 1, 0, 1, 1, 1, 0 }) - 7156
33529
329k
    {AliasPatternCond_K_Imm, (uint32_t)238},
33530
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureAll},
33531
329k
    {AliasPatternCond_K_OrFeature, AArch64_FeatureSME},
33532
329k
    {AliasPatternCond_K_EndOrFeatures, 0},
33533
329k
  {0},  };
33534
33535
329k
  static const char AsmStrings[] =
33536
329k
    /* 0 */ "addpt  $\x01, $\x02, $\x03\0"
33537
329k
    /* 17 */ "cmn $\x02, $\xFF\x03\x01\0"
33538
329k
    /* 30 */ "cmn $\x02, $\x03\0"
33539
329k
    /* 41 */ "cmn $\x02, $\x03$\xFF\x04\x02\0"
33540
329k
    /* 56 */ "adds  $\x01, $\x02, $\x03\0"
33541
329k
    /* 72 */ "cmn $\x02, $\x03$\xFF\x04\x03\0"
33542
329k
    /* 87 */ "mov $\x01, $\x02\0"
33543
329k
    /* 98 */ "add $\x01, $\x02, $\x03\0"
33544
329k
    /* 113 */ "tst $\x02, $\xFF\x03\x04\0"
33545
329k
    /* 126 */ "tst $\x02, $\x03\0"
33546
329k
    /* 137 */ "tst $\x02, $\x03$\xFF\x04\x02\0"
33547
329k
    /* 152 */ "ands $\x01, $\x02, $\x03\0"
33548
329k
    /* 168 */ "tst $\x02, $\xFF\x03\x05\0"
33549
329k
    /* 181 */ "movs $\xFF\x01\x06, $\xFF\x02\x07/z, $\xFF\x03\x06\0"
33550
329k
    /* 205 */ "and  $\x01, $\x02, $\x03\0"
33551
329k
    /* 220 */ "mov $\xFF\x01\x06, $\xFF\x02\x07/z, $\xFF\x03\x06\0"
33552
329k
    /* 243 */ "and  $\xFF\x01\x06, $\xFF\x01\x06, $\xFF\x03\x08\0"
33553
329k
    /* 264 */ "and  $\xFF\x01\x09, $\xFF\x01\x09, $\xFF\x03\x0A\0"
33554
329k
    /* 285 */ "and  $\xFF\x01\x0B, $\xFF\x01\x0B, $\xFF\x03\x04\0"
33555
329k
    /* 306 */ "autia1716\0"
33556
329k
    /* 316 */ "autiasp\0"
33557
329k
    /* 324 */ "autiaz\0"
33558
329k
    /* 331 */ "autib1716\0"
33559
329k
    /* 341 */ "autibsp\0"
33560
329k
    /* 349 */ "autibz\0"
33561
329k
    /* 356 */ "bics $\x01, $\x02, $\x03\0"
33562
329k
    /* 372 */ "bic  $\x01, $\x02, $\x03\0"
33563
329k
    /* 387 */ "chkfeat  x16\0"
33564
329k
    /* 399 */ "clrex\0"
33565
329k
    /* 405 */ "cntb $\x01\0"
33566
329k
    /* 413 */ "cntb $\x01, $\xFF\x02\x0E\0"
33567
329k
    /* 427 */ "cntd $\x01\0"
33568
329k
    /* 435 */ "cntd $\x01, $\xFF\x02\x0E\0"
33569
329k
    /* 449 */ "cnth $\x01\0"
33570
329k
    /* 457 */ "cnth $\x01, $\xFF\x02\x0E\0"
33571
329k
    /* 471 */ "cntw $\x01\0"
33572
329k
    /* 479 */ "cntw $\x01, $\xFF\x02\x0E\0"
33573
329k
    /* 493 */ "mov $\xFF\x01\x06, $\xFF\x03\x07/m, $\xFF\x04\x0F\0"
33574
329k
    /* 516 */ "mov $\xFF\x01\x10, $\xFF\x03\x07/m, $\xFF\x04\x11\0"
33575
329k
    /* 539 */ "mov $\xFF\x01\x09, $\xFF\x03\x07/m, $\xFF\x04\x12\0"
33576
329k
    /* 562 */ "mov $\xFF\x01\x0B, $\xFF\x03\x07/m, $\xFF\x04\x13\0"
33577
329k
    /* 585 */ "mov $\xFF\x01\x06, $\xFF\x03\x07/m, $\x04\0"
33578
329k
    /* 606 */ "mov $\xFF\x01\x10, $\xFF\x03\x07/m, $\x04\0"
33579
329k
    /* 627 */ "mov $\xFF\x01\x09, $\xFF\x03\x07/m, $\x04\0"
33580
329k
    /* 648 */ "mov $\xFF\x01\x0B, $\xFF\x03\x07/m, $\x04\0"
33581
329k
    /* 669 */ "mov $\xFF\x01\x06, $\xFF\x02\x07/z, $\xFF\x03\x0F\0"
33582
329k
    /* 692 */ "mov $\xFF\x01\x10, $\xFF\x02\x07/z, $\xFF\x03\x11\0"
33583
329k
    /* 715 */ "mov $\xFF\x01\x09, $\xFF\x02\x07/z, $\xFF\x03\x12\0"
33584
329k
    /* 738 */ "mov $\xFF\x01\x0B, $\xFF\x02\x07/z, $\xFF\x03\x13\0"
33585
329k
    /* 761 */ "cset $\x01, $\xFF\x04\x14\0"
33586
329k
    /* 775 */ "cinc $\x01, $\x02, $\xFF\x04\x14\0"
33587
329k
    /* 793 */ "csetm $\x01, $\xFF\x04\x14\0"
33588
329k
    /* 808 */ "cinv $\x01, $\x02, $\xFF\x04\x14\0"
33589
329k
    /* 826 */ "cneg $\x01, $\x02, $\xFF\x04\x14\0"
33590
329k
    /* 844 */ "dcps1\0"
33591
329k
    /* 850 */ "dcps2\0"
33592
329k
    /* 856 */ "dcps3\0"
33593
329k
    /* 862 */ "decb $\x01\0"
33594
329k
    /* 870 */ "decb $\x01, $\xFF\x03\x0E\0"
33595
329k
    /* 884 */ "decd $\x01\0"
33596
329k
    /* 892 */ "decd $\x01, $\xFF\x03\x0E\0"
33597
329k
    /* 906 */ "decd $\xFF\x01\x10\0"
33598
329k
    /* 916 */ "decd $\xFF\x01\x10, $\xFF\x03\x0E\0"
33599
329k
    /* 932 */ "dech $\x01\0"
33600
329k
    /* 940 */ "dech $\x01, $\xFF\x03\x0E\0"
33601
329k
    /* 954 */ "dech $\xFF\x01\x09\0"
33602
329k
    /* 964 */ "dech $\xFF\x01\x09, $\xFF\x03\x0E\0"
33603
329k
    /* 980 */ "decw $\x01\0"
33604
329k
    /* 988 */ "decw $\x01, $\xFF\x03\x0E\0"
33605
329k
    /* 1002 */ "decw  $\xFF\x01\x0B\0"
33606
329k
    /* 1012 */ "decw  $\xFF\x01\x0B, $\xFF\x03\x0E\0"
33607
329k
    /* 1028 */ "ssbb\0"
33608
329k
    /* 1033 */ "pssbb\0"
33609
329k
    /* 1039 */ "dfb\0"
33610
329k
    /* 1043 */ "mov $\xFF\x01\x09, $\xFF\x02\x15\0"
33611
329k
    /* 1058 */ "mov $\xFF\x01\x0B, $\xFF\x02\x16\0"
33612
329k
    /* 1073 */ "mov $\xFF\x01\x10, $\xFF\x02\x17\0"
33613
329k
    /* 1088 */ "dupm $\xFF\x01\x06, $\xFF\x02\x08\0"
33614
329k
    /* 1104 */ "dupm $\xFF\x01\x09, $\xFF\x02\x0A\0"
33615
329k
    /* 1120 */ "dupm $\xFF\x01\x0B, $\xFF\x02\x04\0"
33616
329k
    /* 1136 */ "mov $\xFF\x01\x06, $\xFF\x02\x0F\0"
33617
329k
    /* 1151 */ "mov $\xFF\x01\x10, $\xFF\x02\x11\0"
33618
329k
    /* 1166 */ "fmov $\xFF\x01\x10, #0.0\0"
33619
329k
    /* 1182 */ "mov $\xFF\x01\x09, $\xFF\x02\x12\0"
33620
329k
    /* 1197 */ "fmov $\xFF\x01\x09, #0.0\0"
33621
329k
    /* 1213 */ "mov $\xFF\x01\x0B, $\xFF\x02\x13\0"
33622
329k
    /* 1228 */ "fmov $\xFF\x01\x0B, #0.0\0"
33623
329k
    /* 1244 */ "mov $\xFF\x01\x06, $\x02\0"
33624
329k
    /* 1257 */ "mov $\xFF\x01\x10, $\x02\0"
33625
329k
    /* 1270 */ "mov $\xFF\x01\x09, $\x02\0"
33626
329k
    /* 1283 */ "mov $\xFF\x01\x0B, $\x02\0"
33627
329k
    /* 1296 */ "mov $\xFF\x01\x06, $\xFF\x02\x18\0"
33628
329k
    /* 1311 */ "mov $\xFF\x01\x06, $\xFF\x02\x06$\xFF\x03\x19\0"
33629
329k
    /* 1330 */ "mov $\xFF\x01\x10, $\xFF\x02\x1A\0"
33630
329k
    /* 1345 */ "mov $\xFF\x01\x10, $\xFF\x02\x10$\xFF\x03\x19\0"
33631
329k
    /* 1364 */ "mov $\xFF\x01\x09, $\xFF\x02\x1B\0"
33632
329k
    /* 1379 */ "mov $\xFF\x01\x09, $\xFF\x02\x09$\xFF\x03\x19\0"
33633
329k
    /* 1398 */ "mov $\xFF\x01\x1C, $\xFF\x02\x1D\0"
33634
329k
    /* 1413 */ "mov $\xFF\x01\x1C, $\xFF\x02\x1C$\xFF\x03\x19\0"
33635
329k
    /* 1432 */ "mov $\xFF\x01\x0B, $\xFF\x02\x1E\0"
33636
329k
    /* 1447 */ "mov $\xFF\x01\x0B, $\xFF\x02\x0B$\xFF\x03\x19\0"
33637
329k
    /* 1466 */ "eon $\x01, $\x02, $\x03\0"
33638
329k
    /* 1481 */ "nots $\xFF\x01\x06, $\xFF\x02\x07/z, $\xFF\x03\x06\0"
33639
329k
    /* 1505 */ "eor $\x01, $\x02, $\x03\0"
33640
329k
    /* 1520 */ "not $\xFF\x01\x06, $\xFF\x02\x07/z, $\xFF\x03\x06\0"
33641
329k
    /* 1543 */ "eor $\xFF\x01\x06, $\xFF\x01\x06, $\xFF\x03\x08\0"
33642
329k
    /* 1564 */ "eor $\xFF\x01\x09, $\xFF\x01\x09, $\xFF\x03\x0A\0"
33643
329k
    /* 1585 */ "eor $\xFF\x01\x0B, $\xFF\x01\x0B, $\xFF\x03\x04\0"
33644
329k
    /* 1606 */ "mov $\xFF\x01\x06, $\xFF\x03\x07/m, $\xFF\x04\x1F[$\x05, $\xFF\x06\x20]\0"
33645
329k
    /* 1639 */ "mov $\xFF\x01\x10, $\xFF\x03\x07/m, $\xFF\x04\x1F[$\x05, $\xFF\x06\x20]\0"
33646
329k
    /* 1672 */ "mov $\xFF\x01\x09, $\xFF\x03\x07/m, $\xFF\x04\x1F[$\x05, $\xFF\x06\x20]\0"
33647
329k
    /* 1705 */ "mov $\xFF\x01\x1C, $\xFF\x03\x07/m, $\xFF\x04\x1F[$\x05, $\xFF\x06\x20]\0"
33648
329k
    /* 1738 */ "mov $\xFF\x01\x0B, $\xFF\x03\x07/m, $\xFF\x04\x1F[$\x05, $\xFF\x06\x20]\0"
33649
329k
    /* 1771 */ "mov $\xFF\x01\x06, $\xFF\x03\x07/m, $\xFF\x04\x21[$\x05, $\xFF\x06\x20]\0"
33650
329k
    /* 1804 */ "mov $\xFF\x01\x10, $\xFF\x03\x07/m, $\xFF\x04\x21[$\x05, $\xFF\x06\x20]\0"
33651
329k
    /* 1837 */ "mov $\xFF\x01\x09, $\xFF\x03\x07/m, $\xFF\x04\x21[$\x05, $\xFF\x06\x20]\0"
33652
329k
    /* 1870 */ "mov $\xFF\x01\x1C, $\xFF\x03\x07/m, $\xFF\x04\x21[$\x05, $\xFF\x06\x20]\0"
33653
329k
    /* 1903 */ "mov $\xFF\x01\x0B, $\xFF\x03\x07/m, $\xFF\x04\x21[$\x05, $\xFF\x06\x20]\0"
33654
329k
    /* 1936 */ "ror $\x01, $\x02, $\x04\0"
33655
329k
    /* 1951 */ "fmov $\xFF\x01\x10, $\xFF\x03\x07/m, $\xFF\x04\x22\0"
33656
329k
    /* 1975 */ "fmov $\xFF\x01\x09, $\xFF\x03\x07/m, $\xFF\x04\x22\0"
33657
329k
    /* 1999 */ "fmov $\xFF\x01\x0B, $\xFF\x03\x07/m, $\xFF\x04\x22\0"
33658
329k
    /* 2023 */ "fmov $\xFF\x01\x10, $\xFF\x02\x22\0"
33659
329k
    /* 2039 */ "fmov $\xFF\x01\x09, $\xFF\x02\x22\0"
33660
329k
    /* 2055 */ "fmov $\xFF\x01\x0B, $\xFF\x02\x22\0"
33661
329k
    /* 2071 */ "gcspopm\0"
33662
329k
    /* 2079 */ "ld1b  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\xFF\x03\x10]\0"
33663
329k
    /* 2105 */ "ld1b  $\xFF\x01\x24, $\xFF\x02\x07/z, [$\xFF\x03\x0B]\0"
33664
329k
    /* 2131 */ "ld1d  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\xFF\x03\x10]\0"
33665
329k
    /* 2157 */ "ld1h  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\xFF\x03\x10]\0"
33666
329k
    /* 2183 */ "ld1h  $\xFF\x01\x24, $\xFF\x02\x07/z, [$\xFF\x03\x0B]\0"
33667
329k
    /* 2209 */ "ld1q $\xFF\x01\x25, $\xFF\x02\x07/z, [$\xFF\x03\x10]\0"
33668
329k
    /* 2235 */ "ld1sb $\xFF\x01\x23, $\xFF\x02\x07/z, [$\xFF\x03\x10]\0"
33669
329k
    /* 2262 */ "ld1sb $\xFF\x01\x24, $\xFF\x02\x07/z, [$\xFF\x03\x0B]\0"
33670
329k
    /* 2289 */ "ld1sh $\xFF\x01\x23, $\xFF\x02\x07/z, [$\xFF\x03\x10]\0"
33671
329k
    /* 2316 */ "ld1sh $\xFF\x01\x24, $\xFF\x02\x07/z, [$\xFF\x03\x0B]\0"
33672
329k
    /* 2343 */ "ld1sw $\xFF\x01\x23, $\xFF\x02\x07/z, [$\xFF\x03\x10]\0"
33673
329k
    /* 2370 */ "ld1w  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\xFF\x03\x10]\0"
33674
329k
    /* 2396 */ "ld1w  $\xFF\x01\x24, $\xFF\x02\x07/z, [$\xFF\x03\x0B]\0"
33675
329k
    /* 2422 */ "ldff1b  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\xFF\x03\x10]\0"
33676
329k
    /* 2450 */ "ldff1b  $\xFF\x01\x24, $\xFF\x02\x07/z, [$\xFF\x03\x0B]\0"
33677
329k
    /* 2478 */ "ldff1d  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\xFF\x03\x10]\0"
33678
329k
    /* 2506 */ "ldff1h  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\xFF\x03\x10]\0"
33679
329k
    /* 2534 */ "ldff1h  $\xFF\x01\x24, $\xFF\x02\x07/z, [$\xFF\x03\x0B]\0"
33680
329k
    /* 2562 */ "ldff1sb $\xFF\x01\x23, $\xFF\x02\x07/z, [$\xFF\x03\x10]\0"
33681
329k
    /* 2591 */ "ldff1sb $\xFF\x01\x24, $\xFF\x02\x07/z, [$\xFF\x03\x0B]\0"
33682
329k
    /* 2620 */ "ldff1sh $\xFF\x01\x23, $\xFF\x02\x07/z, [$\xFF\x03\x10]\0"
33683
329k
    /* 2649 */ "ldff1sh $\xFF\x01\x24, $\xFF\x02\x07/z, [$\xFF\x03\x0B]\0"
33684
329k
    /* 2678 */ "ldff1sw $\xFF\x01\x23, $\xFF\x02\x07/z, [$\xFF\x03\x10]\0"
33685
329k
    /* 2707 */ "ldff1w  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\xFF\x03\x10]\0"
33686
329k
    /* 2735 */ "ldff1w  $\xFF\x01\x24, $\xFF\x02\x07/z, [$\xFF\x03\x0B]\0"
33687
329k
    /* 2763 */ "nop\0"
33688
329k
    /* 2767 */ "yield\0"
33689
329k
    /* 2773 */ "wfe\0"
33690
329k
    /* 2777 */ "wfi\0"
33691
329k
    /* 2781 */ "sev\0"
33692
329k
    /* 2785 */ "sevl\0"
33693
329k
    /* 2790 */ "dgh\0"
33694
329k
    /* 2794 */ "esb\0"
33695
329k
    /* 2798 */ "csdb\0"
33696
329k
    /* 2803 */ "bti\0"
33697
329k
    /* 2807 */ "bti $\xFF\x01\x26\0"
33698
329k
    /* 2816 */ "psb $\xFF\x01\x27\0"
33699
329k
    /* 2825 */ "gcsb  dsync\0"
33700
329k
    /* 2836 */ "clrbhb\0"
33701
329k
    /* 2843 */ "incb  $\x01\0"
33702
329k
    /* 2851 */ "incb  $\x01, $\xFF\x03\x0E\0"
33703
329k
    /* 2865 */ "incd  $\x01\0"
33704
329k
    /* 2873 */ "incd  $\x01, $\xFF\x03\x0E\0"
33705
329k
    /* 2887 */ "incd  $\xFF\x01\x10\0"
33706
329k
    /* 2897 */ "incd  $\xFF\x01\x10, $\xFF\x03\x0E\0"
33707
329k
    /* 2913 */ "inch  $\x01\0"
33708
329k
    /* 2921 */ "inch  $\x01, $\xFF\x03\x0E\0"
33709
329k
    /* 2935 */ "inch  $\xFF\x01\x09\0"
33710
329k
    /* 2945 */ "inch  $\xFF\x01\x09, $\xFF\x03\x0E\0"
33711
329k
    /* 2961 */ "incw  $\x01\0"
33712
329k
    /* 2969 */ "incw  $\x01, $\xFF\x03\x0E\0"
33713
329k
    /* 2983 */ "incw  $\xFF\x01\x0B\0"
33714
329k
    /* 2993 */ "incw  $\xFF\x01\x0B, $\xFF\x03\x0E\0"
33715
329k
    /* 3009 */ "mov $\xFF\x01\x1F[$\x03, $\xFF\x04\x20], $\xFF\x05\x07/m, $\xFF\x06\x06\0"
33716
329k
    /* 3042 */ "mov $\xFF\x01\x1F[$\x03, $\xFF\x04\x20], $\xFF\x05\x07/m, $\xFF\x06\x10\0"
33717
329k
    /* 3075 */ "mov $\xFF\x01\x1F[$\x03, $\xFF\x04\x20], $\xFF\x05\x07/m, $\xFF\x06\x09\0"
33718
329k
    /* 3108 */ "mov $\xFF\x01\x1F[$\x03, $\xFF\x04\x20], $\xFF\x05\x07/m, $\xFF\x06\x1C\0"
33719
329k
    /* 3141 */ "mov $\xFF\x01\x1F[$\x03, $\xFF\x04\x20], $\xFF\x05\x07/m, $\xFF\x06\x0B\0"
33720
329k
    /* 3174 */ "mov $\xFF\x01\x21[$\x03, $\xFF\x04\x20], $\xFF\x05\x07/m, $\xFF\x06\x06\0"
33721
329k
    /* 3207 */ "mov $\xFF\x01\x21[$\x03, $\xFF\x04\x20], $\xFF\x05\x07/m, $\xFF\x06\x10\0"
33722
329k
    /* 3240 */ "mov $\xFF\x01\x21[$\x03, $\xFF\x04\x20], $\xFF\x05\x07/m, $\xFF\x06\x09\0"
33723
329k
    /* 3273 */ "mov $\xFF\x01\x21[$\x03, $\xFF\x04\x20], $\xFF\x05\x07/m, $\xFF\x06\x1C\0"
33724
329k
    /* 3306 */ "mov $\xFF\x01\x21[$\x03, $\xFF\x04\x20], $\xFF\x05\x07/m, $\xFF\x06\x0B\0"
33725
329k
    /* 3339 */ "mov $\xFF\x01\x0C.h$\xFF\x03\x19, $\x04\0"
33726
329k
    /* 3358 */ "mov $\xFF\x01\x0C.h$\xFF\x03\x19, $\xFF\x04\x0C.h$\xFF\x05\x19\0"
33727
329k
    /* 3385 */ "mov $\xFF\x01\x0C.s$\xFF\x03\x19, $\x04\0"
33728
329k
    /* 3404 */ "mov $\xFF\x01\x0C.s$\xFF\x03\x19, $\xFF\x04\x0C.s$\xFF\x05\x19\0"
33729
329k
    /* 3431 */ "mov $\xFF\x01\x0C.d$\xFF\x03\x19, $\x04\0"
33730
329k
    /* 3450 */ "mov $\xFF\x01\x0C.d$\xFF\x03\x19, $\xFF\x04\x0C.d$\xFF\x05\x19\0"
33731
329k
    /* 3477 */ "mov $\xFF\x01\x0C.b$\xFF\x03\x19, $\x04\0"
33732
329k
    /* 3496 */ "mov $\xFF\x01\x0C.b$\xFF\x03\x19, $\xFF\x04\x0C.b$\xFF\x05\x19\0"
33733
329k
    /* 3523 */ "irg $\x01, $\x02\0"
33734
329k
    /* 3534 */ "isb\0"
33735
329k
    /* 3538 */ "ld1b $\xFF\x01\x28, $\xFF\x02\x29/z, [$\x03]\0"
33736
329k
    /* 3562 */ "ld1b  $\xFF\x01\x2A, $\xFF\x02\x29/z, [$\x03]\0"
33737
329k
    /* 3586 */ "ld1b  $\xFF\x01\x28, $\xFF\x02\x29/z, [$\x03]\0"
33738
329k
    /* 3610 */ "ld1b  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33739
329k
    /* 3634 */ "ld1b  $\xFF\x01\x2B, $\xFF\x02\x07/z, [$\x03]\0"
33740
329k
    /* 3658 */ "ld1b  $\xFF\x01\x28, $\xFF\x02\x07/z, [$\x03]\0"
33741
329k
    /* 3682 */ "ld1b  $\xFF\x01\x24, $\xFF\x02\x07/z, [$\x03]\0"
33742
329k
    /* 3706 */ "ld1d $\xFF\x01\x23, $\xFF\x02\x29/z, [$\x03]\0"
33743
329k
    /* 3730 */ "ld1d  $\xFF\x01\x23, $\xFF\x02\x29/z, [$\x03]\0"
33744
329k
    /* 3754 */ "ld1d  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33745
329k
    /* 3778 */ "ld1d $\xFF\x01\x25, $\xFF\x02\x07/z, [$\x03]\0"
33746
329k
    /* 3802 */ "ld1 $\xFF\x02\x2C, [$\x01], #64\0"
33747
329k
    /* 3822 */ "ld1 $\xFF\x02\x2D, [$\x01], #32\0"
33748
329k
    /* 3842 */ "ld1 $\xFF\x02\x2E, [$\x01], #64\0"
33749
329k
    /* 3862 */ "ld1 $\xFF\x02\x2F, [$\x01], #32\0"
33750
329k
    /* 3882 */ "ld1 $\xFF\x02\x30, [$\x01], #32\0"
33751
329k
    /* 3902 */ "ld1 $\xFF\x02\x31, [$\x01], #64\0"
33752
329k
    /* 3922 */ "ld1 $\xFF\x02\x32, [$\x01], #32\0"
33753
329k
    /* 3942 */ "ld1 $\xFF\x02\x33, [$\x01], #64\0"
33754
329k
    /* 3962 */ "ld1h $\xFF\x01\x2B, $\xFF\x02\x29/z, [$\x03]\0"
33755
329k
    /* 3986 */ "ld1h  $\xFF\x01\x34, $\xFF\x02\x29/z, [$\x03]\0"
33756
329k
    /* 4010 */ "ld1h  $\xFF\x01\x2B, $\xFF\x02\x29/z, [$\x03]\0"
33757
329k
    /* 4034 */ "ld1h  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33758
329k
    /* 4058 */ "ld1h  $\xFF\x01\x2B, $\xFF\x02\x07/z, [$\x03]\0"
33759
329k
    /* 4082 */ "ld1h  $\xFF\x01\x24, $\xFF\x02\x07/z, [$\x03]\0"
33760
329k
    /* 4106 */ "ld1 $\xFF\x02\x2C, [$\x01], #16\0"
33761
329k
    /* 4126 */ "ld1 $\xFF\x02\x2D, [$\x01], #8\0"
33762
329k
    /* 4145 */ "ld1 $\xFF\x02\x2E, [$\x01], #16\0"
33763
329k
    /* 4165 */ "ld1 $\xFF\x02\x2F, [$\x01], #8\0"
33764
329k
    /* 4184 */ "ld1 $\xFF\x02\x30, [$\x01], #8\0"
33765
329k
    /* 4203 */ "ld1 $\xFF\x02\x31, [$\x01], #16\0"
33766
329k
    /* 4223 */ "ld1 $\xFF\x02\x32, [$\x01], #8\0"
33767
329k
    /* 4242 */ "ld1 $\xFF\x02\x33, [$\x01], #16\0"
33768
329k
    /* 4262 */ "ld1rb $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33769
329k
    /* 4287 */ "ld1rb $\xFF\x01\x2B, $\xFF\x02\x07/z, [$\x03]\0"
33770
329k
    /* 4312 */ "ld1rb $\xFF\x01\x28, $\xFF\x02\x07/z, [$\x03]\0"
33771
329k
    /* 4337 */ "ld1rb $\xFF\x01\x24, $\xFF\x02\x07/z, [$\x03]\0"
33772
329k
    /* 4362 */ "ld1rd $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33773
329k
    /* 4387 */ "ld1rh $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33774
329k
    /* 4412 */ "ld1rh $\xFF\x01\x2B, $\xFF\x02\x07/z, [$\x03]\0"
33775
329k
    /* 4437 */ "ld1rh $\xFF\x01\x24, $\xFF\x02\x07/z, [$\x03]\0"
33776
329k
    /* 4462 */ "ld1rob  $\xFF\x01\x28, $\xFF\x02\x07/z, [$\x03]\0"
33777
329k
    /* 4488 */ "ld1rod  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33778
329k
    /* 4514 */ "ld1roh  $\xFF\x01\x2B, $\xFF\x02\x07/z, [$\x03]\0"
33779
329k
    /* 4540 */ "ld1row  $\xFF\x01\x24, $\xFF\x02\x07/z, [$\x03]\0"
33780
329k
    /* 4566 */ "ld1rqb  $\xFF\x01\x28, $\xFF\x02\x07/z, [$\x03]\0"
33781
329k
    /* 4592 */ "ld1rqd  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33782
329k
    /* 4618 */ "ld1rqh  $\xFF\x01\x2B, $\xFF\x02\x07/z, [$\x03]\0"
33783
329k
    /* 4644 */ "ld1rqw  $\xFF\x01\x24, $\xFF\x02\x07/z, [$\x03]\0"
33784
329k
    /* 4670 */ "ld1rsb  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33785
329k
    /* 4696 */ "ld1rsb  $\xFF\x01\x2B, $\xFF\x02\x07/z, [$\x03]\0"
33786
329k
    /* 4722 */ "ld1rsb  $\xFF\x01\x24, $\xFF\x02\x07/z, [$\x03]\0"
33787
329k
    /* 4748 */ "ld1rsh  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33788
329k
    /* 4774 */ "ld1rsh  $\xFF\x01\x24, $\xFF\x02\x07/z, [$\x03]\0"
33789
329k
    /* 4800 */ "ld1rsw  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33790
329k
    /* 4826 */ "ld1rw $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33791
329k
    /* 4851 */ "ld1rw $\xFF\x01\x24, $\xFF\x02\x07/z, [$\x03]\0"
33792
329k
    /* 4876 */ "ld1r  $\xFF\x02\x2C, [$\x01], #1\0"
33793
329k
    /* 4896 */ "ld1r  $\xFF\x02\x2D, [$\x01], #8\0"
33794
329k
    /* 4916 */ "ld1r  $\xFF\x02\x2E, [$\x01], #8\0"
33795
329k
    /* 4936 */ "ld1r  $\xFF\x02\x2F, [$\x01], #4\0"
33796
329k
    /* 4956 */ "ld1r  $\xFF\x02\x30, [$\x01], #2\0"
33797
329k
    /* 4976 */ "ld1r  $\xFF\x02\x31, [$\x01], #4\0"
33798
329k
    /* 4996 */ "ld1r  $\xFF\x02\x32, [$\x01], #1\0"
33799
329k
    /* 5016 */ "ld1r  $\xFF\x02\x33, [$\x01], #2\0"
33800
329k
    /* 5036 */ "ld1sb $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33801
329k
    /* 5061 */ "ld1sb $\xFF\x01\x2B, $\xFF\x02\x07/z, [$\x03]\0"
33802
329k
    /* 5086 */ "ld1sb $\xFF\x01\x24, $\xFF\x02\x07/z, [$\x03]\0"
33803
329k
    /* 5111 */ "ld1sh $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33804
329k
    /* 5136 */ "ld1sh $\xFF\x01\x24, $\xFF\x02\x07/z, [$\x03]\0"
33805
329k
    /* 5161 */ "ld1sw $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33806
329k
    /* 5186 */ "ld1 $\xFF\x02\x2C, [$\x01], #48\0"
33807
329k
    /* 5206 */ "ld1 $\xFF\x02\x2D, [$\x01], #24\0"
33808
329k
    /* 5226 */ "ld1 $\xFF\x02\x2E, [$\x01], #48\0"
33809
329k
    /* 5246 */ "ld1 $\xFF\x02\x2F, [$\x01], #24\0"
33810
329k
    /* 5266 */ "ld1 $\xFF\x02\x30, [$\x01], #24\0"
33811
329k
    /* 5286 */ "ld1 $\xFF\x02\x31, [$\x01], #48\0"
33812
329k
    /* 5306 */ "ld1 $\xFF\x02\x32, [$\x01], #24\0"
33813
329k
    /* 5326 */ "ld1 $\xFF\x02\x33, [$\x01], #48\0"
33814
329k
    /* 5346 */ "ld1 $\xFF\x02\x2C, [$\x01], #32\0"
33815
329k
    /* 5366 */ "ld1 $\xFF\x02\x2D, [$\x01], #16\0"
33816
329k
    /* 5386 */ "ld1 $\xFF\x02\x2E, [$\x01], #32\0"
33817
329k
    /* 5406 */ "ld1 $\xFF\x02\x2F, [$\x01], #16\0"
33818
329k
    /* 5426 */ "ld1 $\xFF\x02\x30, [$\x01], #16\0"
33819
329k
    /* 5446 */ "ld1 $\xFF\x02\x31, [$\x01], #32\0"
33820
329k
    /* 5466 */ "ld1 $\xFF\x02\x32, [$\x01], #16\0"
33821
329k
    /* 5486 */ "ld1 $\xFF\x02\x33, [$\x01], #32\0"
33822
329k
    /* 5506 */ "ld1w $\xFF\x01\x24, $\xFF\x02\x29/z, [$\x03]\0"
33823
329k
    /* 5530 */ "ld1w  $\xFF\x01\x24, $\xFF\x02\x29/z, [$\x03]\0"
33824
329k
    /* 5554 */ "ld1w  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33825
329k
    /* 5578 */ "ld1w  $\xFF\x01\x24, $\xFF\x02\x07/z, [$\x03]\0"
33826
329k
    /* 5602 */ "ld1w $\xFF\x01\x25, $\xFF\x02\x07/z, [$\x03]\0"
33827
329k
    /* 5626 */ "ld1b  {$\xFF\x01\x1F[$\x02, $\xFF\x03\x20]}, $\xFF\x04\x07/z, [$\x05]\0"
33828
329k
    /* 5662 */ "ld1d  {$\xFF\x01\x1F[$\x02, $\xFF\x03\x20]}, $\xFF\x04\x07/z, [$\x05]\0"
33829
329k
    /* 5698 */ "ld1h  {$\xFF\x01\x1F[$\x02, $\xFF\x03\x20]}, $\xFF\x04\x07/z, [$\x05]\0"
33830
329k
    /* 5734 */ "ld1q  {$\xFF\x01\x1F[$\x02, $\xFF\x03\x20]}, $\xFF\x04\x07/z, [$\x05]\0"
33831
329k
    /* 5770 */ "ld1w  {$\xFF\x01\x1F[$\x02, $\xFF\x03\x20]}, $\xFF\x04\x07/z, [$\x05]\0"
33832
329k
    /* 5806 */ "ld1b  {$\xFF\x01\x21[$\x02, $\xFF\x03\x20]}, $\xFF\x04\x07/z, [$\x05]\0"
33833
329k
    /* 5842 */ "ld1d  {$\xFF\x01\x21[$\x02, $\xFF\x03\x20]}, $\xFF\x04\x07/z, [$\x05]\0"
33834
329k
    /* 5878 */ "ld1h  {$\xFF\x01\x21[$\x02, $\xFF\x03\x20]}, $\xFF\x04\x07/z, [$\x05]\0"
33835
329k
    /* 5914 */ "ld1q  {$\xFF\x01\x21[$\x02, $\xFF\x03\x20]}, $\xFF\x04\x07/z, [$\x05]\0"
33836
329k
    /* 5950 */ "ld1w  {$\xFF\x01\x21[$\x02, $\xFF\x03\x20]}, $\xFF\x04\x07/z, [$\x05]\0"
33837
329k
    /* 5986 */ "ld1 $\xFF\x02\x34$\xFF\x04\x19, [$\x01], #2\0"
33838
329k
    /* 6009 */ "ld1 $\xFF\x02\x35$\xFF\x04\x19, [$\x01], #4\0"
33839
329k
    /* 6032 */ "ld1 $\xFF\x02\x36$\xFF\x04\x19, [$\x01], #8\0"
33840
329k
    /* 6055 */ "ld1 $\xFF\x02\x2A$\xFF\x04\x19, [$\x01], #1\0"
33841
329k
    /* 6078 */ "ld2b  $\xFF\x01\x28, $\xFF\x02\x07/z, [$\x03]\0"
33842
329k
    /* 6102 */ "ld2d  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33843
329k
    /* 6126 */ "ld2h  $\xFF\x01\x2B, $\xFF\x02\x07/z, [$\x03]\0"
33844
329k
    /* 6150 */ "ld2q  $\xFF\x01\x25, $\xFF\x02\x07/z, [$\x03]\0"
33845
329k
    /* 6174 */ "ld2r  $\xFF\x02\x2C, [$\x01], #2\0"
33846
329k
    /* 6194 */ "ld2r  $\xFF\x02\x2D, [$\x01], #16\0"
33847
329k
    /* 6215 */ "ld2r  $\xFF\x02\x2E, [$\x01], #16\0"
33848
329k
    /* 6236 */ "ld2r  $\xFF\x02\x2F, [$\x01], #8\0"
33849
329k
    /* 6256 */ "ld2r  $\xFF\x02\x30, [$\x01], #4\0"
33850
329k
    /* 6276 */ "ld2r  $\xFF\x02\x31, [$\x01], #8\0"
33851
329k
    /* 6296 */ "ld2r  $\xFF\x02\x32, [$\x01], #2\0"
33852
329k
    /* 6316 */ "ld2r  $\xFF\x02\x33, [$\x01], #4\0"
33853
329k
    /* 6336 */ "ld2 $\xFF\x02\x2C, [$\x01], #32\0"
33854
329k
    /* 6356 */ "ld2 $\xFF\x02\x2E, [$\x01], #32\0"
33855
329k
    /* 6376 */ "ld2 $\xFF\x02\x2F, [$\x01], #16\0"
33856
329k
    /* 6396 */ "ld2 $\xFF\x02\x30, [$\x01], #16\0"
33857
329k
    /* 6416 */ "ld2 $\xFF\x02\x31, [$\x01], #32\0"
33858
329k
    /* 6436 */ "ld2 $\xFF\x02\x32, [$\x01], #16\0"
33859
329k
    /* 6456 */ "ld2 $\xFF\x02\x33, [$\x01], #32\0"
33860
329k
    /* 6476 */ "ld2w  $\xFF\x01\x24, $\xFF\x02\x07/z, [$\x03]\0"
33861
329k
    /* 6500 */ "ld2 $\xFF\x02\x34$\xFF\x04\x19, [$\x01], #4\0"
33862
329k
    /* 6523 */ "ld2 $\xFF\x02\x35$\xFF\x04\x19, [$\x01], #8\0"
33863
329k
    /* 6546 */ "ld2 $\xFF\x02\x36$\xFF\x04\x19, [$\x01], #16\0"
33864
329k
    /* 6570 */ "ld2 $\xFF\x02\x2A$\xFF\x04\x19, [$\x01], #2\0"
33865
329k
    /* 6593 */ "ld3b  $\xFF\x01\x28, $\xFF\x02\x07/z, [$\x03]\0"
33866
329k
    /* 6617 */ "ld3d  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33867
329k
    /* 6641 */ "ld3h  $\xFF\x01\x2B, $\xFF\x02\x07/z, [$\x03]\0"
33868
329k
    /* 6665 */ "ld3q  $\xFF\x01\x25, $\xFF\x02\x07/z, [$\x03]\0"
33869
329k
    /* 6689 */ "ld3r  $\xFF\x02\x2C, [$\x01], #3\0"
33870
329k
    /* 6709 */ "ld3r  $\xFF\x02\x2D, [$\x01], #24\0"
33871
329k
    /* 6730 */ "ld3r  $\xFF\x02\x2E, [$\x01], #24\0"
33872
329k
    /* 6751 */ "ld3r  $\xFF\x02\x2F, [$\x01], #12\0"
33873
329k
    /* 6772 */ "ld3r  $\xFF\x02\x30, [$\x01], #6\0"
33874
329k
    /* 6792 */ "ld3r  $\xFF\x02\x31, [$\x01], #12\0"
33875
329k
    /* 6813 */ "ld3r  $\xFF\x02\x32, [$\x01], #3\0"
33876
329k
    /* 6833 */ "ld3r  $\xFF\x02\x33, [$\x01], #6\0"
33877
329k
    /* 6853 */ "ld3 $\xFF\x02\x2C, [$\x01], #48\0"
33878
329k
    /* 6873 */ "ld3 $\xFF\x02\x2E, [$\x01], #48\0"
33879
329k
    /* 6893 */ "ld3 $\xFF\x02\x2F, [$\x01], #24\0"
33880
329k
    /* 6913 */ "ld3 $\xFF\x02\x30, [$\x01], #24\0"
33881
329k
    /* 6933 */ "ld3 $\xFF\x02\x31, [$\x01], #48\0"
33882
329k
    /* 6953 */ "ld3 $\xFF\x02\x32, [$\x01], #24\0"
33883
329k
    /* 6973 */ "ld3 $\xFF\x02\x33, [$\x01], #48\0"
33884
329k
    /* 6993 */ "ld3w  $\xFF\x01\x24, $\xFF\x02\x07/z, [$\x03]\0"
33885
329k
    /* 7017 */ "ld3 $\xFF\x02\x34$\xFF\x04\x19, [$\x01], #6\0"
33886
329k
    /* 7040 */ "ld3 $\xFF\x02\x35$\xFF\x04\x19, [$\x01], #12\0"
33887
329k
    /* 7064 */ "ld3 $\xFF\x02\x36$\xFF\x04\x19, [$\x01], #24\0"
33888
329k
    /* 7088 */ "ld3 $\xFF\x02\x2A$\xFF\x04\x19, [$\x01], #3\0"
33889
329k
    /* 7111 */ "ld4b  $\xFF\x01\x28, $\xFF\x02\x07/z, [$\x03]\0"
33890
329k
    /* 7135 */ "ld4d  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33891
329k
    /* 7159 */ "ld4 $\xFF\x02\x2C, [$\x01], #64\0"
33892
329k
    /* 7179 */ "ld4 $\xFF\x02\x2E, [$\x01], #64\0"
33893
329k
    /* 7199 */ "ld4 $\xFF\x02\x2F, [$\x01], #32\0"
33894
329k
    /* 7219 */ "ld4 $\xFF\x02\x30, [$\x01], #32\0"
33895
329k
    /* 7239 */ "ld4 $\xFF\x02\x31, [$\x01], #64\0"
33896
329k
    /* 7259 */ "ld4 $\xFF\x02\x32, [$\x01], #32\0"
33897
329k
    /* 7279 */ "ld4 $\xFF\x02\x33, [$\x01], #64\0"
33898
329k
    /* 7299 */ "ld4h  $\xFF\x01\x2B, $\xFF\x02\x07/z, [$\x03]\0"
33899
329k
    /* 7323 */ "ld4q  $\xFF\x01\x25, $\xFF\x02\x07/z, [$\x03]\0"
33900
329k
    /* 7347 */ "ld4r  $\xFF\x02\x2C, [$\x01], #4\0"
33901
329k
    /* 7367 */ "ld4r  $\xFF\x02\x2D, [$\x01], #32\0"
33902
329k
    /* 7388 */ "ld4r  $\xFF\x02\x2E, [$\x01], #32\0"
33903
329k
    /* 7409 */ "ld4r  $\xFF\x02\x2F, [$\x01], #16\0"
33904
329k
    /* 7430 */ "ld4r  $\xFF\x02\x30, [$\x01], #8\0"
33905
329k
    /* 7450 */ "ld4r  $\xFF\x02\x31, [$\x01], #16\0"
33906
329k
    /* 7471 */ "ld4r  $\xFF\x02\x32, [$\x01], #4\0"
33907
329k
    /* 7491 */ "ld4r  $\xFF\x02\x33, [$\x01], #8\0"
33908
329k
    /* 7511 */ "ld4w  $\xFF\x01\x24, $\xFF\x02\x07/z, [$\x03]\0"
33909
329k
    /* 7535 */ "ld4 $\xFF\x02\x34$\xFF\x04\x19, [$\x01], #8\0"
33910
329k
    /* 7558 */ "ld4 $\xFF\x02\x35$\xFF\x04\x19, [$\x01], #16\0"
33911
329k
    /* 7582 */ "ld4 $\xFF\x02\x36$\xFF\x04\x19, [$\x01], #32\0"
33912
329k
    /* 7606 */ "ld4 $\xFF\x02\x2A$\xFF\x04\x19, [$\x01], #4\0"
33913
329k
    /* 7629 */ "staddb  $\x02, [$\x03]\0"
33914
329k
    /* 7645 */ "staddh  $\x02, [$\x03]\0"
33915
329k
    /* 7661 */ "staddlb $\x02, [$\x03]\0"
33916
329k
    /* 7678 */ "staddlh $\x02, [$\x03]\0"
33917
329k
    /* 7695 */ "staddl  $\x02, [$\x03]\0"
33918
329k
    /* 7711 */ "stadd $\x02, [$\x03]\0"
33919
329k
    /* 7726 */ "ldapurb $\x01, [$\x02]\0"
33920
329k
    /* 7743 */ "ldapurh $\x01, [$\x02]\0"
33921
329k
    /* 7760 */ "ldapursb  $\x01, [$\x02]\0"
33922
329k
    /* 7778 */ "ldapursh  $\x01, [$\x02]\0"
33923
329k
    /* 7796 */ "ldapursw  $\x01, [$\x02]\0"
33924
329k
    /* 7814 */ "ldapur  $\x01, [$\x02]\0"
33925
329k
    /* 7830 */ "stclrb  $\x02, [$\x03]\0"
33926
329k
    /* 7846 */ "stclrh  $\x02, [$\x03]\0"
33927
329k
    /* 7862 */ "stclrlb $\x02, [$\x03]\0"
33928
329k
    /* 7879 */ "stclrlh $\x02, [$\x03]\0"
33929
329k
    /* 7896 */ "stclrl  $\x02, [$\x03]\0"
33930
329k
    /* 7912 */ "stclr $\x02, [$\x03]\0"
33931
329k
    /* 7927 */ "steorb  $\x02, [$\x03]\0"
33932
329k
    /* 7943 */ "steorh  $\x02, [$\x03]\0"
33933
329k
    /* 7959 */ "steorlb $\x02, [$\x03]\0"
33934
329k
    /* 7976 */ "steorlh $\x02, [$\x03]\0"
33935
329k
    /* 7993 */ "steorl  $\x02, [$\x03]\0"
33936
329k
    /* 8009 */ "steor $\x02, [$\x03]\0"
33937
329k
    /* 8024 */ "ldff1b  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33938
329k
    /* 8050 */ "ldff1b  $\xFF\x01\x2B, $\xFF\x02\x07/z, [$\x03]\0"
33939
329k
    /* 8076 */ "ldff1b  $\xFF\x01\x28, $\xFF\x02\x07/z, [$\x03]\0"
33940
329k
    /* 8102 */ "ldff1b  $\xFF\x01\x24, $\xFF\x02\x07/z, [$\x03]\0"
33941
329k
    /* 8128 */ "ldff1d  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33942
329k
    /* 8154 */ "ldff1h  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33943
329k
    /* 8180 */ "ldff1h  $\xFF\x01\x2B, $\xFF\x02\x07/z, [$\x03]\0"
33944
329k
    /* 8206 */ "ldff1h  $\xFF\x01\x24, $\xFF\x02\x07/z, [$\x03]\0"
33945
329k
    /* 8232 */ "ldff1sb $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33946
329k
    /* 8259 */ "ldff1sb $\xFF\x01\x2B, $\xFF\x02\x07/z, [$\x03]\0"
33947
329k
    /* 8286 */ "ldff1sb $\xFF\x01\x24, $\xFF\x02\x07/z, [$\x03]\0"
33948
329k
    /* 8313 */ "ldff1sh $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33949
329k
    /* 8340 */ "ldff1sh $\xFF\x01\x24, $\xFF\x02\x07/z, [$\x03]\0"
33950
329k
    /* 8367 */ "ldff1sw $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33951
329k
    /* 8394 */ "ldff1w  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33952
329k
    /* 8420 */ "ldff1w  $\xFF\x01\x24, $\xFF\x02\x07/z, [$\x03]\0"
33953
329k
    /* 8446 */ "ldg $\x01, [$\x03]\0"
33954
329k
    /* 8459 */ "ldnf1b  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33955
329k
    /* 8485 */ "ldnf1b  $\xFF\x01\x2B, $\xFF\x02\x07/z, [$\x03]\0"
33956
329k
    /* 8511 */ "ldnf1b  $\xFF\x01\x28, $\xFF\x02\x07/z, [$\x03]\0"
33957
329k
    /* 8537 */ "ldnf1b  $\xFF\x01\x24, $\xFF\x02\x07/z, [$\x03]\0"
33958
329k
    /* 8563 */ "ldnf1d  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33959
329k
    /* 8589 */ "ldnf1h  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33960
329k
    /* 8615 */ "ldnf1h  $\xFF\x01\x2B, $\xFF\x02\x07/z, [$\x03]\0"
33961
329k
    /* 8641 */ "ldnf1h  $\xFF\x01\x24, $\xFF\x02\x07/z, [$\x03]\0"
33962
329k
    /* 8667 */ "ldnf1sb $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33963
329k
    /* 8694 */ "ldnf1sb $\xFF\x01\x2B, $\xFF\x02\x07/z, [$\x03]\0"
33964
329k
    /* 8721 */ "ldnf1sb $\xFF\x01\x24, $\xFF\x02\x07/z, [$\x03]\0"
33965
329k
    /* 8748 */ "ldnf1sh $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33966
329k
    /* 8775 */ "ldnf1sh $\xFF\x01\x24, $\xFF\x02\x07/z, [$\x03]\0"
33967
329k
    /* 8802 */ "ldnf1sw $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33968
329k
    /* 8829 */ "ldnf1w  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33969
329k
    /* 8855 */ "ldnf1w  $\xFF\x01\x24, $\xFF\x02\x07/z, [$\x03]\0"
33970
329k
    /* 8881 */ "ldnp  $\x01, $\x02, [$\x03]\0"
33971
329k
    /* 8899 */ "ldnt1b $\xFF\x01\x28, $\xFF\x02\x29/z, [$\x03]\0"
33972
329k
    /* 8925 */ "ldnt1b  $\xFF\x01\x2A, $\xFF\x02\x29/z, [$\x03]\0"
33973
329k
    /* 8951 */ "ldnt1b  $\xFF\x01\x28, $\xFF\x02\x29/z, [$\x03]\0"
33974
329k
    /* 8977 */ "ldnt1b  $\xFF\x01\x28, $\xFF\x02\x07/z, [$\x03]\0"
33975
329k
    /* 9003 */ "ldnt1b  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\xFF\x03\x10]\0"
33976
329k
    /* 9031 */ "ldnt1b  $\xFF\x01\x24, $\xFF\x02\x07/z, [$\xFF\x03\x0B]\0"
33977
329k
    /* 9059 */ "ldnt1d $\xFF\x01\x23, $\xFF\x02\x29/z, [$\x03]\0"
33978
329k
    /* 9085 */ "ldnt1d  $\xFF\x01\x23, $\xFF\x02\x29/z, [$\x03]\0"
33979
329k
    /* 9111 */ "ldnt1d  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\x03]\0"
33980
329k
    /* 9137 */ "ldnt1d  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\xFF\x03\x10]\0"
33981
329k
    /* 9165 */ "ldnt1h $\xFF\x01\x2B, $\xFF\x02\x29/z, [$\x03]\0"
33982
329k
    /* 9191 */ "ldnt1h  $\xFF\x01\x34, $\xFF\x02\x29/z, [$\x03]\0"
33983
329k
    /* 9217 */ "ldnt1h  $\xFF\x01\x2B, $\xFF\x02\x29/z, [$\x03]\0"
33984
329k
    /* 9243 */ "ldnt1h  $\xFF\x01\x2B, $\xFF\x02\x07/z, [$\x03]\0"
33985
329k
    /* 9269 */ "ldnt1h  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\xFF\x03\x10]\0"
33986
329k
    /* 9297 */ "ldnt1h  $\xFF\x01\x24, $\xFF\x02\x07/z, [$\xFF\x03\x0B]\0"
33987
329k
    /* 9325 */ "ldnt1sb $\xFF\x01\x23, $\xFF\x02\x07/z, [$\xFF\x03\x10]\0"
33988
329k
    /* 9354 */ "ldnt1sb $\xFF\x01\x24, $\xFF\x02\x07/z, [$\xFF\x03\x0B]\0"
33989
329k
    /* 9383 */ "ldnt1sh $\xFF\x01\x23, $\xFF\x02\x07/z, [$\xFF\x03\x10]\0"
33990
329k
    /* 9412 */ "ldnt1sh $\xFF\x01\x24, $\xFF\x02\x07/z, [$\xFF\x03\x0B]\0"
33991
329k
    /* 9441 */ "ldnt1sw $\xFF\x01\x23, $\xFF\x02\x07/z, [$\xFF\x03\x10]\0"
33992
329k
    /* 9470 */ "ldnt1w $\xFF\x01\x24, $\xFF\x02\x29/z, [$\x03]\0"
33993
329k
    /* 9496 */ "ldnt1w  $\xFF\x01\x24, $\xFF\x02\x29/z, [$\x03]\0"
33994
329k
    /* 9522 */ "ldnt1w  $\xFF\x01\x24, $\xFF\x02\x07/z, [$\x03]\0"
33995
329k
    /* 9548 */ "ldnt1w  $\xFF\x01\x23, $\xFF\x02\x07/z, [$\xFF\x03\x10]\0"
33996
329k
    /* 9576 */ "ldnt1w  $\xFF\x01\x24, $\xFF\x02\x07/z, [$\xFF\x03\x0B]\0"
33997
329k
    /* 9604 */ "ldp $\x01, $\x02, [$\x03]\0"
33998
329k
    /* 9621 */ "ldpsw $\x01, $\x02, [$\x03]\0"
33999
329k
    /* 9640 */ "ldraa $\x01, [$\x02]\0"
34000
329k
    /* 9655 */ "ldrab $\x01, [$\x02]\0"
34001
329k
    /* 9670 */ "ldrb  $\x01, [$\x02, $\x03]\0"
34002
329k
    /* 9688 */ "ldrb  $\x01, [$\x02]\0"
34003
329k
    /* 9702 */ "ldr $\x01, [$\x02, $\x03]\0"
34004
329k
    /* 9719 */ "ldr $\x01, [$\x02]\0"
34005
329k
    /* 9732 */ "ldrh  $\x01, [$\x02, $\x03]\0"
34006
329k
    /* 9750 */ "ldrh  $\x01, [$\x02]\0"
34007
329k
    /* 9764 */ "ldrsb $\x01, [$\x02, $\x03]\0"
34008
329k
    /* 9783 */ "ldrsb $\x01, [$\x02]\0"
34009
329k
    /* 9798 */ "ldrsh $\x01, [$\x02, $\x03]\0"
34010
329k
    /* 9817 */ "ldrsh $\x01, [$\x02]\0"
34011
329k
    /* 9832 */ "ldrsw $\x01, [$\x02, $\x03]\0"
34012
329k
    /* 9851 */ "ldrsw $\x01, [$\x02]\0"
34013
329k
    /* 9866 */ "ldr $\xFF\x01\x07, [$\x02]\0"
34014
329k
    /* 9881 */ "ldr $\xFF\x01\x37[$\x02, $\xFF\x03\x20], [$\x04]\0"
34015
329k
    /* 9906 */ "stsetb  $\x02, [$\x03]\0"
34016
329k
    /* 9922 */ "stseth  $\x02, [$\x03]\0"
34017
329k
    /* 9938 */ "stsetlb $\x02, [$\x03]\0"
34018
329k
    /* 9955 */ "stsetlh $\x02, [$\x03]\0"
34019
329k
    /* 9972 */ "stsetl  $\x02, [$\x03]\0"
34020
329k
    /* 9988 */ "stset $\x02, [$\x03]\0"
34021
329k
    /* 10003 */ "stsmaxb  $\x02, [$\x03]\0"
34022
329k
    /* 10020 */ "stsmaxh  $\x02, [$\x03]\0"
34023
329k
    /* 10037 */ "stsmaxlb $\x02, [$\x03]\0"
34024
329k
    /* 10055 */ "stsmaxlh $\x02, [$\x03]\0"
34025
329k
    /* 10073 */ "stsmaxl  $\x02, [$\x03]\0"
34026
329k
    /* 10090 */ "stsmax $\x02, [$\x03]\0"
34027
329k
    /* 10106 */ "stsminb  $\x02, [$\x03]\0"
34028
329k
    /* 10123 */ "stsminh  $\x02, [$\x03]\0"
34029
329k
    /* 10140 */ "stsminlb $\x02, [$\x03]\0"
34030
329k
    /* 10158 */ "stsminlh $\x02, [$\x03]\0"
34031
329k
    /* 10176 */ "stsminl  $\x02, [$\x03]\0"
34032
329k
    /* 10193 */ "stsmin $\x02, [$\x03]\0"
34033
329k
    /* 10209 */ "ldtrb  $\x01, [$\x02]\0"
34034
329k
    /* 10224 */ "ldtrh  $\x01, [$\x02]\0"
34035
329k
    /* 10239 */ "ldtrsb $\x01, [$\x02]\0"
34036
329k
    /* 10255 */ "ldtrsh $\x01, [$\x02]\0"
34037
329k
    /* 10271 */ "ldtrsw $\x01, [$\x02]\0"
34038
329k
    /* 10287 */ "ldtr $\x01, [$\x02]\0"
34039
329k
    /* 10301 */ "stumaxb  $\x02, [$\x03]\0"
34040
329k
    /* 10318 */ "stumaxh  $\x02, [$\x03]\0"
34041
329k
    /* 10335 */ "stumaxlb $\x02, [$\x03]\0"
34042
329k
    /* 10353 */ "stumaxlh $\x02, [$\x03]\0"
34043
329k
    /* 10371 */ "stumaxl  $\x02, [$\x03]\0"
34044
329k
    /* 10388 */ "stumax $\x02, [$\x03]\0"
34045
329k
    /* 10404 */ "stuminb  $\x02, [$\x03]\0"
34046
329k
    /* 10421 */ "stuminh  $\x02, [$\x03]\0"
34047
329k
    /* 10438 */ "stuminlb $\x02, [$\x03]\0"
34048
329k
    /* 10456 */ "stuminlh $\x02, [$\x03]\0"
34049
329k
    /* 10474 */ "stuminl  $\x02, [$\x03]\0"
34050
329k
    /* 10491 */ "stumin $\x02, [$\x03]\0"
34051
329k
    /* 10507 */ "ldurb  $\x01, [$\x02]\0"
34052
329k
    /* 10522 */ "ldur $\x01, [$\x02]\0"
34053
329k
    /* 10536 */ "ldurh  $\x01, [$\x02]\0"
34054
329k
    /* 10551 */ "ldursb $\x01, [$\x02]\0"
34055
329k
    /* 10567 */ "ldursh $\x01, [$\x02]\0"
34056
329k
    /* 10583 */ "ldursw $\x01, [$\x02]\0"
34057
329k
    /* 10599 */ "mul  $\x01, $\x02, $\x03\0"
34058
329k
    /* 10614 */ "mov  $\xFF\x01\x28, $\xFF\x02\x1F[$\x03, $\xFF\x04\x38]\0"
34059
329k
    /* 10639 */ "mov  $\xFF\x01\x23, $\xFF\x02\x1F[$\x03, $\xFF\x04\x38]\0"
34060
329k
    /* 10664 */ "mov  $\xFF\x01\x2B, $\xFF\x02\x1F[$\x03, $\xFF\x04\x38]\0"
34061
329k
    /* 10689 */ "mov  $\xFF\x01\x24, $\xFF\x02\x1F[$\x03, $\xFF\x04\x38]\0"
34062
329k
    /* 10714 */ "mov  $\xFF\x01\x28, $\xFF\x02\x21[$\x03, $\xFF\x04\x38]\0"
34063
329k
    /* 10739 */ "mov  $\xFF\x01\x23, $\xFF\x02\x21[$\x03, $\xFF\x04\x38]\0"
34064
329k
    /* 10764 */ "mov  $\xFF\x01\x2B, $\xFF\x02\x21[$\x03, $\xFF\x04\x38]\0"
34065
329k
    /* 10789 */ "mov  $\xFF\x01\x24, $\xFF\x02\x21[$\x03, $\xFF\x04\x38]\0"
34066
329k
    /* 10814 */ "mov  $\xFF\x01\x28, $\xFF\x02\x1F[$\x03, $\xFF\x04\x39]\0"
34067
329k
    /* 10839 */ "mov  $\xFF\x01\x23, $\xFF\x02\x1F[$\x03, $\xFF\x04\x39]\0"
34068
329k
    /* 10864 */ "mov  $\xFF\x01\x2B, $\xFF\x02\x1F[$\x03, $\xFF\x04\x39]\0"
34069
329k
    /* 10889 */ "mov  $\xFF\x01\x24, $\xFF\x02\x1F[$\x03, $\xFF\x04\x39]\0"
34070
329k
    /* 10914 */ "mov  $\xFF\x01\x28, $\xFF\x02\x21[$\x03, $\xFF\x04\x39]\0"
34071
329k
    /* 10939 */ "mov  $\xFF\x01\x23, $\xFF\x02\x21[$\x03, $\xFF\x04\x39]\0"
34072
329k
    /* 10964 */ "mov  $\xFF\x01\x2B, $\xFF\x02\x21[$\x03, $\xFF\x04\x39]\0"
34073
329k
    /* 10989 */ "mov  $\xFF\x01\x24, $\xFF\x02\x21[$\x03, $\xFF\x04\x39]\0"
34074
329k
    /* 11014 */ "mov  $\xFF\x01\x1F[$\x03, $\xFF\x04\x38], $\xFF\x05\x28\0"
34075
329k
    /* 11039 */ "mov  $\xFF\x01\x1F[$\x03, $\xFF\x04\x38], $\xFF\x05\x23\0"
34076
329k
    /* 11064 */ "mov  $\xFF\x01\x1F[$\x03, $\xFF\x04\x38], $\xFF\x05\x2B\0"
34077
329k
    /* 11089 */ "mov  $\xFF\x01\x1F[$\x03, $\xFF\x04\x38], $\xFF\x05\x24\0"
34078
329k
    /* 11114 */ "mov  $\xFF\x01\x21[$\x03, $\xFF\x04\x38], $\xFF\x05\x28\0"
34079
329k
    /* 11139 */ "mov  $\xFF\x01\x21[$\x03, $\xFF\x04\x38], $\xFF\x05\x23\0"
34080
329k
    /* 11164 */ "mov  $\xFF\x01\x21[$\x03, $\xFF\x04\x38], $\xFF\x05\x2B\0"
34081
329k
    /* 11189 */ "mov  $\xFF\x01\x21[$\x03, $\xFF\x04\x38], $\xFF\x05\x24\0"
34082
329k
    /* 11214 */ "mov  $\xFF\x01\x1F[$\x03, $\xFF\x04\x39], $\xFF\x05\x28\0"
34083
329k
    /* 11239 */ "mov  $\xFF\x01\x1F[$\x03, $\xFF\x04\x39], $\xFF\x05\x23\0"
34084
329k
    /* 11264 */ "mov  $\xFF\x01\x1F[$\x03, $\xFF\x04\x39], $\xFF\x05\x2B\0"
34085
329k
    /* 11289 */ "mov  $\xFF\x01\x1F[$\x03, $\xFF\x04\x39], $\xFF\x05\x24\0"
34086
329k
    /* 11314 */ "mov  $\xFF\x01\x21[$\x03, $\xFF\x04\x39], $\xFF\x05\x28\0"
34087
329k
    /* 11339 */ "mov  $\xFF\x01\x21[$\x03, $\xFF\x04\x39], $\xFF\x05\x23\0"
34088
329k
    /* 11364 */ "mov  $\xFF\x01\x21[$\x03, $\xFF\x04\x39], $\xFF\x05\x2B\0"
34089
329k
    /* 11389 */ "mov  $\xFF\x01\x21[$\x03, $\xFF\x04\x39], $\xFF\x05\x24\0"
34090
329k
    /* 11414 */ "mov  $\xFF\x01\x23, $\xFF\x02\x3A[$\x03, $\xFF\x04\x20, vgx2]\0"
34091
329k
    /* 11445 */ "mov  $\xFF\x01\x3A[$\x03, $\xFF\x04\x20, vgx2], $\xFF\x05\x23\0"
34092
329k
    /* 11476 */ "mov  $\xFF\x01\x23, $\xFF\x02\x3A[$\x03, $\xFF\x04\x20, vgx4]\0"
34093
329k
    /* 11507 */ "mov  $\xFF\x01\x3A[$\x03, $\xFF\x04\x20, vgx4], $\xFF\x05\x23\0"
34094
329k
    /* 11538 */ "movt $\x01, $\xFF\x03\x07\0"
34095
329k
    /* 11552 */ "smstart\0"
34096
329k
    /* 11560 */ "smstart sm\0"
34097
329k
    /* 11571 */ "smstart za\0"
34098
329k
    /* 11582 */ "smstop\0"
34099
329k
    /* 11589 */ "smstop sm\0"
34100
329k
    /* 11599 */ "smstop za\0"
34101
329k
    /* 11609 */ "mneg $\x01, $\x02, $\x03\0"
34102
329k
    /* 11625 */ "mvn $\xFF\x01\x0C.16b, $\xFF\x02\x0C.16b\0"
34103
329k
    /* 11648 */ "mvn $\xFF\x01\x0C.8b, $\xFF\x02\x0C.8b\0"
34104
329k
    /* 11669 */ "mvn $\x01, $\x03\0"
34105
329k
    /* 11680 */ "mvn $\x01, $\x03$\xFF\x04\x02\0"
34106
329k
    /* 11695 */ "orn  $\x01, $\x02, $\x03\0"
34107
329k
    /* 11710 */ "movs $\xFF\x01\x06, $\xFF\x02\x06\0"
34108
329k
    /* 11726 */ "mov $\x01, $\x03\0"
34109
329k
    /* 11737 */ "orr  $\x01, $\x02, $\x03\0"
34110
329k
    /* 11752 */ "mov $\xFF\x01\x06, $\xFF\x02\x06\0"
34111
329k
    /* 11767 */ "orr  $\xFF\x01\x06, $\xFF\x01\x06, $\xFF\x03\x08\0"
34112
329k
    /* 11788 */ "orr  $\xFF\x01\x09, $\xFF\x01\x09, $\xFF\x03\x0A\0"
34113
329k
    /* 11809 */ "orr  $\xFF\x01\x0B, $\xFF\x01\x0B, $\xFF\x03\x04\0"
34114
329k
    /* 11830 */ "mov $\xFF\x01\x10, $\xFF\x02\x10\0"
34115
329k
    /* 11845 */ "mov  $\xFF\x01\x0C.16b, $\xFF\x02\x0C.16b\0"
34116
329k
    /* 11868 */ "mov  $\xFF\x01\x0C.8b, $\xFF\x02\x0C.8b\0"
34117
329k
    /* 11889 */ "pacia1716\0"
34118
329k
    /* 11899 */ "paciasp\0"
34119
329k
    /* 11907 */ "paciaz\0"
34120
329k
    /* 11914 */ "pacib1716\0"
34121
329k
    /* 11924 */ "pacibsp\0"
34122
329k
    /* 11932 */ "pacibz\0"
34123
329k
    /* 11939 */ "pacm\0"
34124
329k
    /* 11944 */ "pmov $\xFF\x01\x06, $\xFF\x02\x07\0"
34125
329k
    /* 11960 */ "pmov $\xFF\x01\x07, $\xFF\x04\x06\0"
34126
329k
    /* 11976 */ "prfb $\xFF\x01\x3C, $\xFF\x02\x07, [$\xFF\x03\x10]\0"
34127
329k
    /* 12000 */ "prfb $\xFF\x01\x3C, $\xFF\x02\x07, [$\x03]\0"
34128
329k
    /* 12022 */ "prfb $\xFF\x01\x3C, $\xFF\x02\x07, [$\xFF\x03\x0B]\0"
34129
329k
    /* 12046 */ "prfd $\xFF\x01\x3C, $\xFF\x02\x07, [$\xFF\x03\x10]\0"
34130
329k
    /* 12070 */ "prfd $\xFF\x01\x3C, $\xFF\x02\x07, [$\x03]\0"
34131
329k
    /* 12092 */ "prfd $\xFF\x01\x3C, $\xFF\x02\x07, [$\xFF\x03\x0B]\0"
34132
329k
    /* 12116 */ "prfh $\xFF\x01\x3C, $\xFF\x02\x07, [$\xFF\x03\x10]\0"
34133
329k
    /* 12140 */ "prfh $\xFF\x01\x3C, $\xFF\x02\x07, [$\x03]\0"
34134
329k
    /* 12162 */ "prfh $\xFF\x01\x3C, $\xFF\x02\x07, [$\xFF\x03\x0B]\0"
34135
329k
    /* 12186 */ "prfm $\xFF\x01\x3D, [$\x02, $\x03]\0"
34136
329k
    /* 12206 */ "prfm $\xFF\x01\x3D, [$\x02]\0"
34137
329k
    /* 12222 */ "prfum  $\xFF\x01\x3D, [$\x02]\0"
34138
329k
    /* 12239 */ "prfw $\xFF\x01\x3C, $\xFF\x02\x07, [$\xFF\x03\x10]\0"
34139
329k
    /* 12263 */ "prfw $\xFF\x01\x3C, $\xFF\x02\x07, [$\x03]\0"
34140
329k
    /* 12285 */ "prfw $\xFF\x01\x3C, $\xFF\x02\x07, [$\xFF\x03\x0B]\0"
34141
329k
    /* 12309 */ "ptrues $\xFF\x01\x06\0"
34142
329k
    /* 12321 */ "ptrues $\xFF\x01\x10\0"
34143
329k
    /* 12333 */ "ptrues $\xFF\x01\x09\0"
34144
329k
    /* 12345 */ "ptrues $\xFF\x01\x0B\0"
34145
329k
    /* 12357 */ "ptrue  $\xFF\x01\x06\0"
34146
329k
    /* 12368 */ "ptrue  $\xFF\x01\x10\0"
34147
329k
    /* 12379 */ "ptrue  $\xFF\x01\x09\0"
34148
329k
    /* 12390 */ "ptrue  $\xFF\x01\x0B\0"
34149
329k
    /* 12401 */ "ret\0"
34150
329k
    /* 12405 */ "ngcs $\x01, $\x03\0"
34151
329k
    /* 12417 */ "ngc $\x01, $\x03\0"
34152
329k
    /* 12428 */ "asr $\x01, $\x02, $\x03\0"
34153
329k
    /* 12443 */ "sxtb $\x01, $\x02\0"
34154
329k
    /* 12455 */ "sxth $\x01, $\x02\0"
34155
329k
    /* 12467 */ "sxtw $\x01, $\x02\0"
34156
329k
    /* 12479 */ "mov $\xFF\x01\x06, $\xFF\x02\x07/m, $\xFF\x03\x06\0"
34157
329k
    /* 12502 */ "mov $\xFF\x01\x10, $\xFF\x02\x07/m, $\xFF\x03\x10\0"
34158
329k
    /* 12525 */ "mov $\xFF\x01\x09, $\xFF\x02\x07/m, $\xFF\x03\x09\0"
34159
329k
    /* 12548 */ "mov $\xFF\x01\x0B, $\xFF\x02\x07/m, $\xFF\x03\x0B\0"
34160
329k
    /* 12571 */ "smull  $\x01, $\x02, $\x03\0"
34161
329k
    /* 12588 */ "smnegl $\x01, $\x02, $\x03\0"
34162
329k
    /* 12606 */ "sqdecb $\x01\0"
34163
329k
    /* 12616 */ "sqdecb $\x01, $\xFF\x03\x0E\0"
34164
329k
    /* 12632 */ "sqdecb $\x01, $\xFF\x02\x3E\0"
34165
329k
    /* 12648 */ "sqdecb $\x01, $\xFF\x02\x3E, $\xFF\x03\x0E\0"
34166
329k
    /* 12670 */ "sqdecd $\x01\0"
34167
329k
    /* 12680 */ "sqdecd $\x01, $\xFF\x03\x0E\0"
34168
329k
    /* 12696 */ "sqdecd $\x01, $\xFF\x02\x3E\0"
34169
329k
    /* 12712 */ "sqdecd $\x01, $\xFF\x02\x3E, $\xFF\x03\x0E\0"
34170
329k
    /* 12734 */ "sqdecd $\xFF\x01\x10\0"
34171
329k
    /* 12746 */ "sqdecd $\xFF\x01\x10, $\xFF\x03\x0E\0"
34172
329k
    /* 12764 */ "sqdech $\x01\0"
34173
329k
    /* 12774 */ "sqdech $\x01, $\xFF\x03\x0E\0"
34174
329k
    /* 12790 */ "sqdech $\x01, $\xFF\x02\x3E\0"
34175
329k
    /* 12806 */ "sqdech $\x01, $\xFF\x02\x3E, $\xFF\x03\x0E\0"
34176
329k
    /* 12828 */ "sqdech $\xFF\x01\x09\0"
34177
329k
    /* 12840 */ "sqdech $\xFF\x01\x09, $\xFF\x03\x0E\0"
34178
329k
    /* 12858 */ "sqdecw $\x01\0"
34179
329k
    /* 12868 */ "sqdecw $\x01, $\xFF\x03\x0E\0"
34180
329k
    /* 12884 */ "sqdecw $\x01, $\xFF\x02\x3E\0"
34181
329k
    /* 12900 */ "sqdecw $\x01, $\xFF\x02\x3E, $\xFF\x03\x0E\0"
34182
329k
    /* 12922 */ "sqdecw $\xFF\x01\x0B\0"
34183
329k
    /* 12934 */ "sqdecw $\xFF\x01\x0B, $\xFF\x03\x0E\0"
34184
329k
    /* 12952 */ "sqincb $\x01\0"
34185
329k
    /* 12962 */ "sqincb $\x01, $\xFF\x03\x0E\0"
34186
329k
    /* 12978 */ "sqincb $\x01, $\xFF\x02\x3E\0"
34187
329k
    /* 12994 */ "sqincb $\x01, $\xFF\x02\x3E, $\xFF\x03\x0E\0"
34188
329k
    /* 13016 */ "sqincd $\x01\0"
34189
329k
    /* 13026 */ "sqincd $\x01, $\xFF\x03\x0E\0"
34190
329k
    /* 13042 */ "sqincd $\x01, $\xFF\x02\x3E\0"
34191
329k
    /* 13058 */ "sqincd $\x01, $\xFF\x02\x3E, $\xFF\x03\x0E\0"
34192
329k
    /* 13080 */ "sqincd $\xFF\x01\x10\0"
34193
329k
    /* 13092 */ "sqincd $\xFF\x01\x10, $\xFF\x03\x0E\0"
34194
329k
    /* 13110 */ "sqinch $\x01\0"
34195
329k
    /* 13120 */ "sqinch $\x01, $\xFF\x03\x0E\0"
34196
329k
    /* 13136 */ "sqinch $\x01, $\xFF\x02\x3E\0"
34197
329k
    /* 13152 */ "sqinch $\x01, $\xFF\x02\x3E, $\xFF\x03\x0E\0"
34198
329k
    /* 13174 */ "sqinch $\xFF\x01\x09\0"
34199
329k
    /* 13186 */ "sqinch $\xFF\x01\x09, $\xFF\x03\x0E\0"
34200
329k
    /* 13204 */ "sqincw $\x01\0"
34201
329k
    /* 13214 */ "sqincw $\x01, $\xFF\x03\x0E\0"
34202
329k
    /* 13230 */ "sqincw $\x01, $\xFF\x02\x3E\0"
34203
329k
    /* 13246 */ "sqincw $\x01, $\xFF\x02\x3E, $\xFF\x03\x0E\0"
34204
329k
    /* 13268 */ "sqincw $\xFF\x01\x0B\0"
34205
329k
    /* 13280 */ "sqincw $\xFF\x01\x0B, $\xFF\x03\x0E\0"
34206
329k
    /* 13298 */ "st1b $\xFF\x01\x23, $\xFF\x02\x07, [$\xFF\x03\x10]\0"
34207
329k
    /* 13322 */ "st1b $\xFF\x01\x24, $\xFF\x02\x07, [$\xFF\x03\x0B]\0"
34208
329k
    /* 13346 */ "st1d $\xFF\x01\x23, $\xFF\x02\x07, [$\xFF\x03\x10]\0"
34209
329k
    /* 13370 */ "st1h $\xFF\x01\x23, $\xFF\x02\x07, [$\xFF\x03\x10]\0"
34210
329k
    /* 13394 */ "st1h $\xFF\x01\x24, $\xFF\x02\x07, [$\xFF\x03\x0B]\0"
34211
329k
    /* 13418 */ "st1q $\xFF\x01\x25, $\xFF\x02\x07, [$\xFF\x03\x10]\0"
34212
329k
    /* 13442 */ "st1w $\xFF\x01\x23, $\xFF\x02\x07, [$\xFF\x03\x10]\0"
34213
329k
    /* 13466 */ "st1w $\xFF\x01\x24, $\xFF\x02\x07, [$\xFF\x03\x0B]\0"
34214
329k
    /* 13490 */ "st1b $\xFF\x01\x28, $\xFF\x02\x29, [$\x03]\0"
34215
329k
    /* 13512 */ "st1b $\xFF\x01\x2A, $\xFF\x02\x29, [$\x03]\0"
34216
329k
    /* 13534 */ "st1b $\xFF\x01\x28, $\xFF\x02\x29, [$\x03]\0"
34217
329k
    /* 13556 */ "st1b $\xFF\x01\x23, $\xFF\x02\x07, [$\x03]\0"
34218
329k
    /* 13578 */ "st1b $\xFF\x01\x2B, $\xFF\x02\x07, [$\x03]\0"
34219
329k
    /* 13600 */ "st1b $\xFF\x01\x28, $\xFF\x02\x07, [$\x03]\0"
34220
329k
    /* 13622 */ "st1b $\xFF\x01\x24, $\xFF\x02\x07, [$\x03]\0"
34221
329k
    /* 13644 */ "st1d $\xFF\x01\x23, $\xFF\x02\x29, [$\x03]\0"
34222
329k
    /* 13666 */ "st1d $\xFF\x01\x23, $\xFF\x02\x29, [$\x03]\0"
34223
329k
    /* 13688 */ "st1d $\xFF\x01\x23, $\xFF\x02\x07, [$\x03]\0"
34224
329k
    /* 13710 */ "st1d $\xFF\x01\x25, $\xFF\x02\x07, [$\x03]\0"
34225
329k
    /* 13732 */ "st1  $\xFF\x02\x2C, [$\x01], #64\0"
34226
329k
    /* 13752 */ "st1  $\xFF\x02\x2D, [$\x01], #32\0"
34227
329k
    /* 13772 */ "st1  $\xFF\x02\x2E, [$\x01], #64\0"
34228
329k
    /* 13792 */ "st1  $\xFF\x02\x2F, [$\x01], #32\0"
34229
329k
    /* 13812 */ "st1  $\xFF\x02\x30, [$\x01], #32\0"
34230
329k
    /* 13832 */ "st1  $\xFF\x02\x31, [$\x01], #64\0"
34231
329k
    /* 13852 */ "st1  $\xFF\x02\x32, [$\x01], #32\0"
34232
329k
    /* 13872 */ "st1  $\xFF\x02\x33, [$\x01], #64\0"
34233
329k
    /* 13892 */ "st1h $\xFF\x01\x2B, $\xFF\x02\x29, [$\x03]\0"
34234
329k
    /* 13914 */ "st1h $\xFF\x01\x34, $\xFF\x02\x29, [$\x03]\0"
34235
329k
    /* 13936 */ "st1h $\xFF\x01\x2B, $\xFF\x02\x29, [$\x03]\0"
34236
329k
    /* 13958 */ "st1h $\xFF\x01\x23, $\xFF\x02\x07, [$\x03]\0"
34237
329k
    /* 13980 */ "st1h $\xFF\x01\x2B, $\xFF\x02\x07, [$\x03]\0"
34238
329k
    /* 14002 */ "st1h $\xFF\x01\x24, $\xFF\x02\x07, [$\x03]\0"
34239
329k
    /* 14024 */ "st1  $\xFF\x02\x2C, [$\x01], #16\0"
34240
329k
    /* 14044 */ "st1  $\xFF\x02\x2D, [$\x01], #8\0"
34241
329k
    /* 14063 */ "st1  $\xFF\x02\x2E, [$\x01], #16\0"
34242
329k
    /* 14083 */ "st1  $\xFF\x02\x2F, [$\x01], #8\0"
34243
329k
    /* 14102 */ "st1  $\xFF\x02\x30, [$\x01], #8\0"
34244
329k
    /* 14121 */ "st1  $\xFF\x02\x31, [$\x01], #16\0"
34245
329k
    /* 14141 */ "st1  $\xFF\x02\x32, [$\x01], #8\0"
34246
329k
    /* 14160 */ "st1  $\xFF\x02\x33, [$\x01], #16\0"
34247
329k
    /* 14180 */ "st1  $\xFF\x02\x2C, [$\x01], #48\0"
34248
329k
    /* 14200 */ "st1  $\xFF\x02\x2D, [$\x01], #24\0"
34249
329k
    /* 14220 */ "st1  $\xFF\x02\x2E, [$\x01], #48\0"
34250
329k
    /* 14240 */ "st1  $\xFF\x02\x2F, [$\x01], #24\0"
34251
329k
    /* 14260 */ "st1  $\xFF\x02\x30, [$\x01], #24\0"
34252
329k
    /* 14280 */ "st1  $\xFF\x02\x31, [$\x01], #48\0"
34253
329k
    /* 14300 */ "st1  $\xFF\x02\x32, [$\x01], #24\0"
34254
329k
    /* 14320 */ "st1  $\xFF\x02\x33, [$\x01], #48\0"
34255
329k
    /* 14340 */ "st1  $\xFF\x02\x2C, [$\x01], #32\0"
34256
329k
    /* 14360 */ "st1  $\xFF\x02\x2D, [$\x01], #16\0"
34257
329k
    /* 14380 */ "st1  $\xFF\x02\x2E, [$\x01], #32\0"
34258
329k
    /* 14400 */ "st1  $\xFF\x02\x2F, [$\x01], #16\0"
34259
329k
    /* 14420 */ "st1  $\xFF\x02\x30, [$\x01], #16\0"
34260
329k
    /* 14440 */ "st1  $\xFF\x02\x31, [$\x01], #32\0"
34261
329k
    /* 14460 */ "st1  $\xFF\x02\x32, [$\x01], #16\0"
34262
329k
    /* 14480 */ "st1  $\xFF\x02\x33, [$\x01], #32\0"
34263
329k
    /* 14500 */ "st1w $\xFF\x01\x24, $\xFF\x02\x29, [$\x03]\0"
34264
329k
    /* 14522 */ "st1w $\xFF\x01\x24, $\xFF\x02\x29, [$\x03]\0"
34265
329k
    /* 14544 */ "st1w $\xFF\x01\x23, $\xFF\x02\x07, [$\x03]\0"
34266
329k
    /* 14566 */ "st1w $\xFF\x01\x24, $\xFF\x02\x07, [$\x03]\0"
34267
329k
    /* 14588 */ "st1w $\xFF\x01\x25, $\xFF\x02\x07, [$\x03]\0"
34268
329k
    /* 14610 */ "st1b {$\xFF\x01\x1F[$\x02, $\xFF\x03\x20]}, $\xFF\x04\x07, [$\x05]\0"
34269
329k
    /* 14644 */ "st1d {$\xFF\x01\x1F[$\x02, $\xFF\x03\x20]}, $\xFF\x04\x07, [$\x05]\0"
34270
329k
    /* 14678 */ "st1h {$\xFF\x01\x1F[$\x02, $\xFF\x03\x20]}, $\xFF\x04\x07, [$\x05]\0"
34271
329k
    /* 14712 */ "st1q {$\xFF\x01\x1F[$\x02, $\xFF\x03\x20]}, $\xFF\x04\x07, [$\x05]\0"
34272
329k
    /* 14746 */ "st1w {$\xFF\x01\x1F[$\x02, $\xFF\x03\x20]}, $\xFF\x04\x07, [$\x05]\0"
34273
329k
    /* 14780 */ "st1b {$\xFF\x01\x21[$\x02, $\xFF\x03\x20]}, $\xFF\x04\x07, [$\x05]\0"
34274
329k
    /* 14814 */ "st1d {$\xFF\x01\x21[$\x02, $\xFF\x03\x20]}, $\xFF\x04\x07, [$\x05]\0"
34275
329k
    /* 14848 */ "st1h {$\xFF\x01\x21[$\x02, $\xFF\x03\x20]}, $\xFF\x04\x07, [$\x05]\0"
34276
329k
    /* 14882 */ "st1q {$\xFF\x01\x21[$\x02, $\xFF\x03\x20]}, $\xFF\x04\x07, [$\x05]\0"
34277
329k
    /* 14916 */ "st1w {$\xFF\x01\x21[$\x02, $\xFF\x03\x20]}, $\xFF\x04\x07, [$\x05]\0"
34278
329k
    /* 14950 */ "st1  $\xFF\x02\x34$\xFF\x03\x19, [$\x01], #2\0"
34279
329k
    /* 14973 */ "st1  $\xFF\x02\x35$\xFF\x03\x19, [$\x01], #4\0"
34280
329k
    /* 14996 */ "st1  $\xFF\x02\x36$\xFF\x03\x19, [$\x01], #8\0"
34281
329k
    /* 15019 */ "st1  $\xFF\x02\x2A$\xFF\x03\x19, [$\x01], #1\0"
34282
329k
    /* 15042 */ "st2b $\xFF\x01\x28, $\xFF\x02\x07, [$\x03]\0"
34283
329k
    /* 15064 */ "st2d $\xFF\x01\x23, $\xFF\x02\x07, [$\x03]\0"
34284
329k
    /* 15086 */ "st2g $\x01, [$\x02]\0"
34285
329k
    /* 15100 */ "st2h $\xFF\x01\x2B, $\xFF\x02\x07, [$\x03]\0"
34286
329k
    /* 15122 */ "st2q $\xFF\x01\x25, $\xFF\x02\x07, [$\x03]\0"
34287
329k
    /* 15144 */ "st2  $\xFF\x02\x2C, [$\x01], #32\0"
34288
329k
    /* 15164 */ "st2  $\xFF\x02\x2E, [$\x01], #32\0"
34289
329k
    /* 15184 */ "st2  $\xFF\x02\x2F, [$\x01], #16\0"
34290
329k
    /* 15204 */ "st2  $\xFF\x02\x30, [$\x01], #16\0"
34291
329k
    /* 15224 */ "st2  $\xFF\x02\x31, [$\x01], #32\0"
34292
329k
    /* 15244 */ "st2  $\xFF\x02\x32, [$\x01], #16\0"
34293
329k
    /* 15264 */ "st2  $\xFF\x02\x33, [$\x01], #32\0"
34294
329k
    /* 15284 */ "st2w $\xFF\x01\x24, $\xFF\x02\x07, [$\x03]\0"
34295
329k
    /* 15306 */ "st2  $\xFF\x02\x34$\xFF\x03\x19, [$\x01], #4\0"
34296
329k
    /* 15329 */ "st2  $\xFF\x02\x35$\xFF\x03\x19, [$\x01], #8\0"
34297
329k
    /* 15352 */ "st2  $\xFF\x02\x36$\xFF\x03\x19, [$\x01], #16\0"
34298
329k
    /* 15376 */ "st2  $\xFF\x02\x2A$\xFF\x03\x19, [$\x01], #2\0"
34299
329k
    /* 15399 */ "st3b $\xFF\x01\x28, $\xFF\x02\x07, [$\x03]\0"
34300
329k
    /* 15421 */ "st3d $\xFF\x01\x23, $\xFF\x02\x07, [$\x03]\0"
34301
329k
    /* 15443 */ "st3h $\xFF\x01\x2B, $\xFF\x02\x07, [$\x03]\0"
34302
329k
    /* 15465 */ "st3q $\xFF\x01\x25, $\xFF\x02\x07, [$\x03]\0"
34303
329k
    /* 15487 */ "st3  $\xFF\x02\x2C, [$\x01], #48\0"
34304
329k
    /* 15507 */ "st3  $\xFF\x02\x2E, [$\x01], #48\0"
34305
329k
    /* 15527 */ "st3  $\xFF\x02\x2F, [$\x01], #24\0"
34306
329k
    /* 15547 */ "st3  $\xFF\x02\x30, [$\x01], #24\0"
34307
329k
    /* 15567 */ "st3  $\xFF\x02\x31, [$\x01], #48\0"
34308
329k
    /* 15587 */ "st3  $\xFF\x02\x32, [$\x01], #24\0"
34309
329k
    /* 15607 */ "st3  $\xFF\x02\x33, [$\x01], #48\0"
34310
329k
    /* 15627 */ "st3w $\xFF\x01\x24, $\xFF\x02\x07, [$\x03]\0"
34311
329k
    /* 15649 */ "st3  $\xFF\x02\x34$\xFF\x03\x19, [$\x01], #6\0"
34312
329k
    /* 15672 */ "st3  $\xFF\x02\x35$\xFF\x03\x19, [$\x01], #12\0"
34313
329k
    /* 15696 */ "st3  $\xFF\x02\x36$\xFF\x03\x19, [$\x01], #24\0"
34314
329k
    /* 15720 */ "st3  $\xFF\x02\x2A$\xFF\x03\x19, [$\x01], #3\0"
34315
329k
    /* 15743 */ "st4b $\xFF\x01\x28, $\xFF\x02\x07, [$\x03]\0"
34316
329k
    /* 15765 */ "st4d $\xFF\x01\x23, $\xFF\x02\x07, [$\x03]\0"
34317
329k
    /* 15787 */ "st4  $\xFF\x02\x2C, [$\x01], #64\0"
34318
329k
    /* 15807 */ "st4  $\xFF\x02\x2E, [$\x01], #64\0"
34319
329k
    /* 15827 */ "st4  $\xFF\x02\x2F, [$\x01], #32\0"
34320
329k
    /* 15847 */ "st4  $\xFF\x02\x30, [$\x01], #32\0"
34321
329k
    /* 15867 */ "st4  $\xFF\x02\x31, [$\x01], #64\0"
34322
329k
    /* 15887 */ "st4  $\xFF\x02\x32, [$\x01], #32\0"
34323
329k
    /* 15907 */ "st4  $\xFF\x02\x33, [$\x01], #64\0"
34324
329k
    /* 15927 */ "st4h $\xFF\x01\x2B, $\xFF\x02\x07, [$\x03]\0"
34325
329k
    /* 15949 */ "st4q $\xFF\x01\x25, $\xFF\x02\x07, [$\x03]\0"
34326
329k
    /* 15971 */ "st4w $\xFF\x01\x24, $\xFF\x02\x07, [$\x03]\0"
34327
329k
    /* 15993 */ "st4  $\xFF\x02\x34$\xFF\x03\x19, [$\x01], #8\0"
34328
329k
    /* 16016 */ "st4  $\xFF\x02\x35$\xFF\x03\x19, [$\x01], #16\0"
34329
329k
    /* 16040 */ "st4  $\xFF\x02\x36$\xFF\x03\x19, [$\x01], #32\0"
34330
329k
    /* 16064 */ "st4  $\xFF\x02\x2A$\xFF\x03\x19, [$\x01], #4\0"
34331
329k
    /* 16087 */ "stgp $\x01, $\x02, [$\x03]\0"
34332
329k
    /* 16105 */ "stg  $\x01, [$\x02]\0"
34333
329k
    /* 16118 */ "stlurb $\x01, [$\x02]\0"
34334
329k
    /* 16134 */ "stlurh $\x01, [$\x02]\0"
34335
329k
    /* 16150 */ "stlur  $\x01, [$\x02]\0"
34336
329k
    /* 16165 */ "stnp $\x01, $\x02, [$\x03]\0"
34337
329k
    /* 16183 */ "stnt1b $\xFF\x01\x28, $\xFF\x02\x29, [$\x03]\0"
34338
329k
    /* 16207 */ "stnt1b $\xFF\x01\x2A, $\xFF\x02\x29, [$\x03]\0"
34339
329k
    /* 16231 */ "stnt1b $\xFF\x01\x28, $\xFF\x02\x29, [$\x03]\0"
34340
329k
    /* 16255 */ "stnt1b $\xFF\x01\x28, $\xFF\x02\x07, [$\x03]\0"
34341
329k
    /* 16279 */ "stnt1b $\xFF\x01\x23, $\xFF\x02\x07, [$\xFF\x03\x10]\0"
34342
329k
    /* 16305 */ "stnt1b $\xFF\x01\x24, $\xFF\x02\x07, [$\xFF\x03\x0B]\0"
34343
329k
    /* 16331 */ "stnt1d $\xFF\x01\x23, $\xFF\x02\x29, [$\x03]\0"
34344
329k
    /* 16355 */ "stnt1d $\xFF\x01\x23, $\xFF\x02\x29, [$\x03]\0"
34345
329k
    /* 16379 */ "stnt1d $\xFF\x01\x23, $\xFF\x02\x07, [$\x03]\0"
34346
329k
    /* 16403 */ "stnt1d $\xFF\x01\x23, $\xFF\x02\x07, [$\xFF\x03\x10]\0"
34347
329k
    /* 16429 */ "stnt1h $\xFF\x01\x2B, $\xFF\x02\x29, [$\x03]\0"
34348
329k
    /* 16453 */ "stnt1h $\xFF\x01\x34, $\xFF\x02\x29, [$\x03]\0"
34349
329k
    /* 16477 */ "stnt1h $\xFF\x01\x2B, $\xFF\x02\x29, [$\x03]\0"
34350
329k
    /* 16501 */ "stnt1h $\xFF\x01\x2B, $\xFF\x02\x07, [$\x03]\0"
34351
329k
    /* 16525 */ "stnt1h $\xFF\x01\x23, $\xFF\x02\x07, [$\xFF\x03\x10]\0"
34352
329k
    /* 16551 */ "stnt1h $\xFF\x01\x24, $\xFF\x02\x07, [$\xFF\x03\x0B]\0"
34353
329k
    /* 16577 */ "stnt1w $\xFF\x01\x24, $\xFF\x02\x29, [$\x03]\0"
34354
329k
    /* 16601 */ "stnt1w $\xFF\x01\x24, $\xFF\x02\x29, [$\x03]\0"
34355
329k
    /* 16625 */ "stnt1w $\xFF\x01\x24, $\xFF\x02\x07, [$\x03]\0"
34356
329k
    /* 16649 */ "stnt1w $\xFF\x01\x23, $\xFF\x02\x07, [$\xFF\x03\x10]\0"
34357
329k
    /* 16675 */ "stnt1w $\xFF\x01\x24, $\xFF\x02\x07, [$\xFF\x03\x0B]\0"
34358
329k
    /* 16701 */ "stp  $\x01, $\x02, [$\x03]\0"
34359
329k
    /* 16718 */ "strb $\x01, [$\x02, $\x03]\0"
34360
329k
    /* 16736 */ "strb $\x01, [$\x02]\0"
34361
329k
    /* 16750 */ "str  $\x01, [$\x02, $\x03]\0"
34362
329k
    /* 16767 */ "str  $\x01, [$\x02]\0"
34363
329k
    /* 16780 */ "strh $\x01, [$\x02, $\x03]\0"
34364
329k
    /* 16798 */ "strh $\x01, [$\x02]\0"
34365
329k
    /* 16812 */ "str  $\xFF\x01\x07, [$\x02]\0"
34366
329k
    /* 16827 */ "str  $\xFF\x01\x37[$\x02, $\xFF\x03\x20], [$\x04]\0"
34367
329k
    /* 16852 */ "sttrb  $\x01, [$\x02]\0"
34368
329k
    /* 16867 */ "sttrh  $\x01, [$\x02]\0"
34369
329k
    /* 16882 */ "sttr $\x01, [$\x02]\0"
34370
329k
    /* 16896 */ "sturb  $\x01, [$\x02]\0"
34371
329k
    /* 16911 */ "stur $\x01, [$\x02]\0"
34372
329k
    /* 16925 */ "sturh  $\x01, [$\x02]\0"
34373
329k
    /* 16940 */ "stz2g  $\x01, [$\x02]\0"
34374
329k
    /* 16955 */ "stzg $\x01, [$\x02]\0"
34375
329k
    /* 16969 */ "subpt  $\x01, $\x02, $\x03\0"
34376
329k
    /* 16986 */ "cmp  $\x02, $\xFF\x03\x01\0"
34377
329k
    /* 16999 */ "cmp  $\x02, $\x03\0"
34378
329k
    /* 17010 */ "cmp  $\x02, $\x03$\xFF\x04\x02\0"
34379
329k
    /* 17025 */ "negs $\x01, $\x03\0"
34380
329k
    /* 17037 */ "negs $\x01, $\x03$\xFF\x04\x02\0"
34381
329k
    /* 17053 */ "subs $\x01, $\x02, $\x03\0"
34382
329k
    /* 17069 */ "cmp  $\x02, $\x03$\xFF\x04\x03\0"
34383
329k
    /* 17084 */ "neg $\x01, $\x03\0"
34384
329k
    /* 17095 */ "neg $\x01, $\x03$\xFF\x04\x02\0"
34385
329k
    /* 17110 */ "sub  $\x01, $\x02, $\x03\0"
34386
329k
    /* 17125 */ "sysp $\x01, $\xFF\x02\x3F, $\xFF\x03\x3F, $\x04\0"
34387
329k
    /* 17149 */ "sys $\x01, $\xFF\x02\x3F, $\xFF\x03\x3F, $\x04\0"
34388
329k
    /* 17172 */ "lsr $\x01, $\x02, $\x03\0"
34389
329k
    /* 17187 */ "uxtb $\x01, $\x02\0"
34390
329k
    /* 17199 */ "uxth $\x01, $\x02\0"
34391
329k
    /* 17211 */ "uxtw $\x01, $\x02\0"
34392
329k
    /* 17223 */ "umull  $\x01, $\x02, $\x03\0"
34393
329k
    /* 17240 */ "mov  $\x01, $\xFF\x02\x0C.s$\xFF\x03\x19\0"
34394
329k
    /* 17259 */ "mov  $\x01, $\xFF\x02\x0C.d$\xFF\x03\x19\0"
34395
329k
    /* 17278 */ "umnegl $\x01, $\x02, $\x03\0"
34396
329k
    /* 17296 */ "uqdecb $\x01\0"
34397
329k
    /* 17306 */ "uqdecb $\x01, $\xFF\x03\x0E\0"
34398
329k
    /* 17322 */ "uqdecd $\x01\0"
34399
329k
    /* 17332 */ "uqdecd $\x01, $\xFF\x03\x0E\0"
34400
329k
    /* 17348 */ "uqdecd $\xFF\x01\x10\0"
34401
329k
    /* 17360 */ "uqdecd $\xFF\x01\x10, $\xFF\x03\x0E\0"
34402
329k
    /* 17378 */ "uqdech $\x01\0"
34403
329k
    /* 17388 */ "uqdech $\x01, $\xFF\x03\x0E\0"
34404
329k
    /* 17404 */ "uqdech $\xFF\x01\x09\0"
34405
329k
    /* 17416 */ "uqdech $\xFF\x01\x09, $\xFF\x03\x0E\0"
34406
329k
    /* 17434 */ "uqdecw $\x01\0"
34407
329k
    /* 17444 */ "uqdecw $\x01, $\xFF\x03\x0E\0"
34408
329k
    /* 17460 */ "uqdecw $\xFF\x01\x0B\0"
34409
329k
    /* 17472 */ "uqdecw $\xFF\x01\x0B, $\xFF\x03\x0E\0"
34410
329k
    /* 17490 */ "uqincb $\x01\0"
34411
329k
    /* 17500 */ "uqincb $\x01, $\xFF\x03\x0E\0"
34412
329k
    /* 17516 */ "uqincd $\x01\0"
34413
329k
    /* 17526 */ "uqincd $\x01, $\xFF\x03\x0E\0"
34414
329k
    /* 17542 */ "uqincd $\xFF\x01\x10\0"
34415
329k
    /* 17554 */ "uqincd $\xFF\x01\x10, $\xFF\x03\x0E\0"
34416
329k
    /* 17572 */ "uqinch $\x01\0"
34417
329k
    /* 17582 */ "uqinch $\x01, $\xFF\x03\x0E\0"
34418
329k
    /* 17598 */ "uqinch $\xFF\x01\x09\0"
34419
329k
    /* 17610 */ "uqinch $\xFF\x01\x09, $\xFF\x03\x0E\0"
34420
329k
    /* 17628 */ "uqincw $\x01\0"
34421
329k
    /* 17638 */ "uqincw $\x01, $\xFF\x03\x0E\0"
34422
329k
    /* 17654 */ "uqincw $\xFF\x01\x0B\0"
34423
329k
    /* 17666 */ "uqincw $\xFF\x01\x0B, $\xFF\x03\x0E\0"
34424
329k
    /* 17684 */ "xpaclri\0"
34425
329k
    /* 17692 */ "zero {za}\0"
34426
329k
    /* 17702 */ "zero {za0.h}\0"
34427
329k
    /* 17715 */ "zero {za1.h}\0"
34428
329k
    /* 17728 */ "zero {za0.s}\0"
34429
329k
    /* 17741 */ "zero {za1.s}\0"
34430
329k
    /* 17754 */ "zero {za2.s}\0"
34431
329k
    /* 17767 */ "zero {za3.s}\0"
34432
329k
    /* 17780 */ "zero {za0.s,za1.s}\0"
34433
329k
    /* 17799 */ "zero {za0.s,za3.s}\0"
34434
329k
    /* 17818 */ "zero {za1.s,za2.s}\0"
34435
329k
    /* 17837 */ "zero {za2.s,za3.s}\0"
34436
329k
    /* 17856 */ "zero {za0.s,za1.s,za2.s}\0"
34437
329k
    /* 17881 */ "zero {za0.s,za1.s,za3.s}\0"
34438
329k
    /* 17906 */ "zero {za0.s,za2.s,za3.s}\0"
34439
329k
    /* 17931 */ "zero {za1.s,za2.s,za3.s}\0"
34440
329k
  ;
34441
34442
329k
#ifndef NDEBUG
34443
  //static struct SortCheck {
34444
  //  SortCheck(ArrayRef<PatternsForOpcode> OpToPatterns) {
34445
  //    assert(std::is_sorted(
34446
  //               OpToPatterns.begin(), OpToPatterns.end(),
34447
  //               [](const PatternsForOpcode &L, const //PatternsForOpcode &R) {
34448
  //                 return L.Opcode < R.Opcode;
34449
  //               }) &&
34450
  //           "tablegen failed to sort opcode patterns");
34451
  //  }
34452
  //} sortCheckVar(OpToPatterns);
34453
329k
#endif
34454
34455
329k
  AliasMatchingData M = {
34456
329k
    OpToPatterns,
34457
329k
    Patterns,
34458
329k
    Conds,
34459
329k
    AsmStrings,
34460
329k
    AArch64InstPrinterValidateMCOperand,
34461
329k
  };
34462
329k
  const char *AsmString = matchAliasPatterns(MI, &M);
34463
329k
  if (!AsmString) return false;
34464
34465
21.8k
  unsigned I = 0;
34466
96.1k
  while (AsmString[I] != ' ' && AsmString[I] != '\t' &&
34467
96.1k
         AsmString[I] != '$' && AsmString[I] != '\0')
34468
74.2k
    ++I;
34469
21.8k
  SStream_concat1(OS, '\t');
34470
21.8k
  char *substr = malloc(I+1);
34471
21.8k
  memcpy(substr, AsmString, I);
34472
21.8k
  substr[I] = '\0';
34473
21.8k
  SStream_concat0(OS, substr);
34474
21.8k
  free(substr);
34475
21.8k
  if (AsmString[I] != '\0') {
34476
21.8k
    if (AsmString[I] == ' ' || AsmString[I] == '\t') {
34477
21.8k
      SStream_concat1(OS, '\t');
34478
21.8k
      ++I;
34479
21.8k
    }
34480
188k
    do {
34481
188k
      if (AsmString[I] == '$') {
34482
65.6k
        ++I;
34483
65.6k
        if (AsmString[I] == (char)0xff) {
34484
48.9k
          ++I;
34485
48.9k
          int OpIdx = AsmString[I++] - 1;
34486
48.9k
          int PrintMethodIdx = AsmString[I++] - 1;
34487
48.9k
          printCustomAliasOperand(MI, Address, OpIdx, PrintMethodIdx, OS);
34488
48.9k
        } else
34489
16.7k
          printOperand(MI, ((unsigned)AsmString[I++]) - 1, OS);
34490
122k
      } else {
34491
122k
        SStream_concat1(OS, AsmString[I++]);
34492
122k
      }
34493
188k
    } while (AsmString[I] != '\0');
34494
21.8k
  }
34495
34496
21.8k
  return true;
34497
#else
34498
  return false;
34499
#endif // CAPSTONE_DIET
34500
329k
}
34501
34502
static void printCustomAliasOperand(
34503
         MCInst *MI, uint64_t Address, unsigned OpIdx,
34504
         unsigned PrintMethodIdx,
34505
48.9k
         SStream *OS) {
34506
48.9k
#ifndef CAPSTONE_DIET
34507
48.9k
  switch (PrintMethodIdx) {
34508
0
  default:
34509
0
    assert(0 && "Unknown PrintMethod kind");
34510
0
    break;
34511
283
  case 0:
34512
283
    printAddSubImm(MI, OpIdx, OS);
34513
283
    break;
34514
109
  case 1:
34515
109
    printShifter(MI, OpIdx, OS);
34516
109
    break;
34517
84
  case 2:
34518
84
    printArithExtend(MI, OpIdx, OS);
34519
84
    break;
34520
777
  case 3:
34521
777
    printLogicalImm_int32_t(MI, OpIdx, OS);
34522
777
    break;
34523
108
  case 4:
34524
108
    printLogicalImm_int64_t(MI, OpIdx, OS);
34525
108
    break;
34526
3.01k
  case 5:
34527
3.01k
    printSVERegOp_b(MI, OpIdx, OS);
34528
3.01k
    break;
34529
5.86k
  case 6:
34530
5.86k
    printSVERegOp_0(MI, OpIdx, OS);
34531
5.86k
    break;
34532
921
  case 7:
34533
921
    printLogicalImm_int8_t(MI, OpIdx, OS);
34534
921
    break;
34535
3.50k
  case 8:
34536
3.50k
    printSVERegOp_h(MI, OpIdx, OS);
34537
3.50k
    break;
34538
1.08k
  case 9:
34539
1.08k
    printLogicalImm_int16_t(MI, OpIdx, OS);
34540
1.08k
    break;
34541
2.61k
  case 10:
34542
2.61k
    printSVERegOp_s(MI, OpIdx, OS);
34543
2.61k
    break;
34544
741
  case 11:
34545
741
    printVRegOperand(MI, OpIdx, OS);
34546
741
    break;
34547
0
  case 12:
34548
0
    printImm(MI, OpIdx, OS);
34549
0
    break;
34550
786
  case 13:
34551
786
    printSVEPattern(MI, OpIdx, OS);
34552
786
    break;
34553
323
  case 14:
34554
323
    printImm8OptLsl_int8_t(MI, OpIdx, OS);
34555
323
    break;
34556
3.25k
  case 15:
34557
3.25k
    printSVERegOp_d(MI, OpIdx, OS);
34558
3.25k
    break;
34559
233
  case 16:
34560
233
    printImm8OptLsl_int64_t(MI, OpIdx, OS);
34561
233
    break;
34562
270
  case 17:
34563
270
    printImm8OptLsl_int16_t(MI, OpIdx, OS);
34564
270
    break;
34565
262
  case 18:
34566
262
    printImm8OptLsl_int32_t(MI, OpIdx, OS);
34567
262
    break;
34568
217
  case 19:
34569
217
    printInverseCondCode(MI, OpIdx, OS);
34570
217
    break;
34571
991
  case 20:
34572
991
    printSVELogicalImm_int16_t(MI, OpIdx, OS);
34573
991
    break;
34574
765
  case 21:
34575
765
    printSVELogicalImm_int32_t(MI, OpIdx, OS);
34576
765
    break;
34577
1.05k
  case 22:
34578
1.05k
    printSVELogicalImm_int64_t(MI, OpIdx, OS);
34579
1.05k
    break;
34580
42
  case 23:
34581
42
    printZPRasFPR_8(MI, OpIdx, OS);
34582
42
    break;
34583
2.41k
  case 24:
34584
2.41k
    printVectorIndex_1(MI, OpIdx, OS);
34585
2.41k
    break;
34586
37
  case 25:
34587
37
    printZPRasFPR_64(MI, OpIdx, OS);
34588
37
    break;
34589
38
  case 26:
34590
38
    printZPRasFPR_16(MI, OpIdx, OS);
34591
38
    break;
34592
119
  case 27:
34593
119
    printSVERegOp_q(MI, OpIdx, OS);
34594
119
    break;
34595
16
  case 28:
34596
16
    printZPRasFPR_128(MI, OpIdx, OS);
34597
16
    break;
34598
89
  case 29:
34599
89
    printZPRasFPR_32(MI, OpIdx, OS);
34600
89
    break;
34601
2.41k
  case 30:
34602
2.41k
    printMatrixTileVector_0(MI, OpIdx, OS);
34603
2.41k
    break;
34604
3.13k
  case 31:
34605
3.13k
    printMatrixIndex_1(MI, OpIdx, OS);
34606
3.13k
    break;
34607
2.66k
  case 32:
34608
2.66k
    printMatrixTileVector_1(MI, OpIdx, OS);
34609
2.66k
    break;
34610
102
  case 33:
34611
102
    printFPImmOperand(MI, OpIdx, OS);
34612
102
    break;
34613
887
  case 34:
34614
887
    printTypedVectorList_0_d(MI, OpIdx, OS);
34615
887
    break;
34616
627
  case 35:
34617
627
    printTypedVectorList_0_s(MI, OpIdx, OS);
34618
627
    break;
34619
399
  case 36:
34620
399
    printTypedVectorList_0_q(MI, OpIdx, OS);
34621
399
    break;
34622
142
  case 37:
34623
142
    printBTIHintOp(MI, OpIdx, OS);
34624
142
    break;
34625
282
  case 38:
34626
282
    printPSBHintOp(MI, OpIdx, OS);
34627
282
    break;
34628
689
  case 39:
34629
689
    printTypedVectorList_0_b(MI, OpIdx, OS);
34630
689
    break;
34631
148
  case 40:
34632
148
    printPredicateAsCounter_0(MI, OpIdx, OS);
34633
148
    break;
34634
111
  case 41:
34635
111
    printTypedVectorList_0_b(MI, OpIdx, OS);
34636
111
    break;
34637
567
  case 42:
34638
567
    printTypedVectorList_0_h(MI, OpIdx, OS);
34639
567
    break;
34640
37
  case 43:
34641
37
    printTypedVectorList_16_b(MI, OpIdx, OS);
34642
37
    break;
34643
12
  case 44:
34644
12
    printTypedVectorList_1_d(MI, OpIdx, OS);
34645
12
    break;
34646
14
  case 45:
34647
14
    printTypedVectorList_2_d(MI, OpIdx, OS);
34648
14
    break;
34649
22
  case 46:
34650
22
    printTypedVectorList_2_s(MI, OpIdx, OS);
34651
22
    break;
34652
44
  case 47:
34653
44
    printTypedVectorList_4_h(MI, OpIdx, OS);
34654
44
    break;
34655
30
  case 48:
34656
30
    printTypedVectorList_4_s(MI, OpIdx, OS);
34657
30
    break;
34658
727
  case 49:
34659
727
    printTypedVectorList_8_b(MI, OpIdx, OS);
34660
727
    break;
34661
298
  case 50:
34662
298
    printTypedVectorList_8_h(MI, OpIdx, OS);
34663
298
    break;
34664
598
  case 51:
34665
598
    printTypedVectorList_0_h(MI, OpIdx, OS);
34666
598
    break;
34667
688
  case 52:
34668
688
    printTypedVectorList_0_s(MI, OpIdx, OS);
34669
688
    break;
34670
246
  case 53:
34671
246
    printTypedVectorList_0_d(MI, OpIdx, OS);
34672
246
    break;
34673
25
  case 54:
34674
25
    printMatrix_0(MI, OpIdx, OS);
34675
25
    break;
34676
1.07k
  case 55:
34677
1.07k
    printImmRangeScale_2_1(MI, OpIdx, OS);
34678
1.07k
    break;
34679
897
  case 56:
34680
897
    printImmRangeScale_4_3(MI, OpIdx, OS);
34681
897
    break;
34682
7
  case 57:
34683
7
    printMatrix_64(MI, OpIdx, OS);
34684
7
    break;
34685
0
  case 58:
34686
0
    printImmHex(MI, OpIdx, OS);
34687
0
    break;
34688
55
  case 59:
34689
55
    printPrefetchOp_1(MI, OpIdx, OS);
34690
55
    break;
34691
18
  case 60:
34692
18
    printPrefetchOp_0(MI, OpIdx, OS);
34693
18
    break;
34694
720
  case 61:
34695
720
    printGPR64as32(MI, OpIdx, OS);
34696
720
    break;
34697
1.25k
  case 62:
34698
1.25k
    printSysCROperand(MI, OpIdx, OS);
34699
1.25k
    break;
34700
48.9k
  }
34701
48.9k
#endif // CAPSTONE_DIET
34702
48.9k
}
34703
34704
static bool AArch64InstPrinterValidateMCOperand(const MCOperand *MCOp,
34705
17.9k
                  unsigned PredicateIndex) {
34706
17.9k
  switch (PredicateIndex) {
34707
0
  default:
34708
0
    assert(0 && "Unknown MCOperandPredicate kind");
34709
0
    return false;
34710
2.97k
  case 1: {
34711
34712
2.97k
    if (!MCOperand_isImm(MCOp))
34713
0
      return false;
34714
2.97k
    int64_t Val = AArch64_AM_decodeLogicalImmediate(MCOperand_getImm(MCOp), 64);
34715
2.97k
    return AArch64_AM_isSVEMaskOfIdenticalElements_int8_t(Val);
34716
  
34717
2.97k
    }
34718
2.05k
  case 2: {
34719
34720
2.05k
    if (!MCOperand_isImm(MCOp))
34721
0
      return false;
34722
2.05k
    int64_t Val = AArch64_AM_decodeLogicalImmediate(MCOperand_getImm(MCOp), 64);
34723
2.05k
    return AArch64_AM_isSVEMaskOfIdenticalElements_int16_t(Val);
34724
  
34725
2.05k
    }
34726
978
  case 3: {
34727
34728
978
    if (!MCOperand_isImm(MCOp))
34729
0
      return false;
34730
978
    int64_t Val = AArch64_AM_decodeLogicalImmediate(MCOperand_getImm(MCOp), 64);
34731
978
    return AArch64_AM_isSVEMaskOfIdenticalElements_int32_t(Val);
34732
  
34733
978
    }
34734
223
  case 4: {
34735
34736
223
    return MCOperand_isImm(MCOp) &&
34737
223
           MCOperand_getImm(MCOp) != AArch64CC_AL &&
34738
223
           MCOperand_getImm(MCOp) != AArch64CC_NV;
34739
  
34740
978
    }
34741
4.30k
  case 5: {
34742
34743
4.30k
    if (!MCOperand_isImm(MCOp))
34744
0
      return false;
34745
4.30k
    int64_t Val = AArch64_AM_decodeLogicalImmediate(MCOperand_getImm(MCOp), 64);
34746
4.30k
    return AArch64_AM_isSVEMaskOfIdenticalElements_int16_t(Val) &&
34747
4.30k
           AArch64_AM_isSVEMoveMaskPreferredLogicalImmediate(Val);
34748
  
34749
4.30k
    }
34750
3.31k
  case 6: {
34751
34752
3.31k
    if (!MCOperand_isImm(MCOp))
34753
0
      return false;
34754
3.31k
    int64_t Val = AArch64_AM_decodeLogicalImmediate(MCOperand_getImm(MCOp), 64);
34755
3.31k
    return AArch64_AM_isSVEMaskOfIdenticalElements_int32_t(Val) &&
34756
3.31k
           AArch64_AM_isSVEMoveMaskPreferredLogicalImmediate(Val);
34757
  
34758
3.31k
    }
34759
2.55k
  case 7: {
34760
34761
2.55k
    if (!MCOperand_isImm(MCOp))
34762
0
      return false;
34763
2.55k
    int64_t Val = AArch64_AM_decodeLogicalImmediate(MCOperand_getImm(MCOp), 64);
34764
2.55k
    return AArch64_AM_isSVEMaskOfIdenticalElements_int64_t(Val) &&
34765
2.55k
           AArch64_AM_isSVEMoveMaskPreferredLogicalImmediate(Val);
34766
  
34767
2.55k
    }
34768
846
  case 8: {
34769
34770
    // "bti" is an alias to "hint" only for certain values of CRm:Op2 fields.
34771
846
    if (!MCOperand_isImm(MCOp))
34772
0
      return false;
34773
846
    return AArch64BTIHint_lookupBTIByEncoding(MCOperand_getImm(MCOp) ^ 32) != NULL;
34774
  
34775
846
    }
34776
704
  case 9: {
34777
34778
    // Check, if operand is valid, to fix exhaustive aliasing in disassembly.
34779
    // "psb" is an alias to "hint" only for certain values of CRm:Op2 fields.
34780
704
    if (!MCOperand_isImm(MCOp))
34781
0
      return false;
34782
704
    return AArch64PSBHint_lookupPSBByEncoding(MCOperand_getImm(MCOp)) != NULL;
34783
  
34784
704
    }
34785
17.9k
  }
34786
17.9k
}
34787
34788
#endif // PRINT_ALIAS_INSTR