Coverage Report

Created: 2024-08-21 06:24

/src/capstonev5/arch/Mips/MipsGenAsmWriter.inc
Line
Count
Source (jump to first uncovered line)
1
/*===- TableGen'erated file -------------------------------------*- C++ -*-===*\
2
|*                                                                            *|
3
|*Assembly Writer Source Fragment                                             *|
4
|*                                                                            *|
5
|* Automatically generated file, do not edit!                                 *|
6
|*                                                                            *|
7
\*===----------------------------------------------------------------------===*/
8
9
/* Capstone Disassembly Engine, http://www.capstone-engine.org */
10
/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2015 */
11
12
/// printInstruction - This method is automatically generated by tablegen
13
/// from the instruction set description.
14
static void printInstruction(MCInst *MI, SStream *O, const MCRegisterInfo *MRI)
15
136k
{
16
136k
  static const uint32_t OpInfo[] = {
17
136k
    0U, // PHI
18
136k
    0U, // INLINEASM
19
136k
    0U, // CFI_INSTRUCTION
20
136k
    0U, // EH_LABEL
21
136k
    0U, // GC_LABEL
22
136k
    0U, // KILL
23
136k
    0U, // EXTRACT_SUBREG
24
136k
    0U, // INSERT_SUBREG
25
136k
    0U, // IMPLICIT_DEF
26
136k
    0U, // SUBREG_TO_REG
27
136k
    0U, // COPY_TO_REGCLASS
28
136k
    9396U,  // DBG_VALUE
29
136k
    0U, // REG_SEQUENCE
30
136k
    0U, // COPY
31
136k
    9389U,  // BUNDLE
32
136k
    9406U,  // LIFETIME_START
33
136k
    9376U,  // LIFETIME_END
34
136k
    0U, // STACKMAP
35
136k
    0U, // PATCHPOINT
36
136k
    0U, // LOAD_STACK_GUARD
37
136k
    0U, // STATEPOINT
38
136k
    0U, // FRAME_ALLOC
39
136k
    21660U, // ABSQ_S_PH
40
136k
    18025U, // ABSQ_S_QB
41
136k
    24850U, // ABSQ_S_W
42
136k
    134237992U, // ADD
43
136k
    18294U, // ADDIUPC
44
136k
    18294U, // ADDIUPC_MM
45
136k
    22527U, // ADDIUR1SP_MM
46
136k
    134234410U, // ADDIUR2_MM
47
136k
    8683851U, // ADDIUS5_MM
48
136k
    546875U,  // ADDIUSP_MM
49
136k
    134239193U, // ADDQH_PH
50
136k
    134239310U, // ADDQH_R_PH
51
136k
    134242253U, // ADDQH_R_W
52
136k
    134241856U, // ADDQH_W
53
136k
    134239267U, // ADDQ_PH
54
136k
    134239366U, // ADDQ_S_PH
55
136k
    134242558U, // ADDQ_S_W
56
136k
    134236055U, // ADDSC
57
136k
    134234730U, // ADDS_A_B
58
136k
    134236180U, // ADDS_A_D
59
136k
    134238138U, // ADDS_A_H
60
136k
    134241564U, // ADDS_A_W
61
136k
    134235198U, // ADDS_S_B
62
136k
    134237269U, // ADDS_S_D
63
136k
    134238695U, // ADDS_S_H
64
136k
    134242608U, // ADDS_S_W
65
136k
    134235413U, // ADDS_U_B
66
136k
    134237736U, // ADDS_U_D
67
136k
    134238973U, // ADDS_U_H
68
136k
    134243026U, // ADDS_U_W
69
136k
    134234575U, // ADDU16_MM
70
136k
    134235621U, // ADDUH_QB
71
136k
    134235729U, // ADDUH_R_QB
72
136k
    134239465U, // ADDU_PH
73
136k
    134235834U, // ADDU_QB
74
136k
    134239410U, // ADDU_S_PH
75
136k
    134235775U, // ADDU_S_QB
76
136k
    2281718627U,  // ADDVI_B
77
136k
    2281720348U,  // ADDVI_D
78
136k
    2281722002U,  // ADDVI_H
79
136k
    2281725637U,  // ADDVI_W
80
136k
    134235491U, // ADDV_B
81
136k
    134237836U, // ADDV_D
82
136k
    134239051U, // ADDV_H
83
136k
    134243126U, // ADDV_W
84
136k
    134236094U, // ADDWC
85
136k
    134234712U, // ADD_A_B
86
136k
    134236161U, // ADD_A_D
87
136k
    134238120U, // ADD_A_H
88
136k
    134241545U, // ADD_A_W
89
136k
    134237992U, // ADD_MM
90
136k
    134239685U, // ADDi
91
136k
    134239685U, // ADDi_MM
92
136k
    134241307U, // ADDiu
93
136k
    134241307U, // ADDiu_MM
94
136k
    134241261U, // ADDu
95
136k
    134241261U, // ADDu_MM
96
136k
    0U, // ADJCALLSTACKDOWN
97
136k
    0U, // ADJCALLSTACKUP
98
136k
    134240158U, // ALIGN
99
136k
    18286U, // ALUIPC
100
136k
    134238014U, // AND
101
136k
    835930U,  // AND16_MM
102
136k
    134238014U, // AND64
103
136k
    134234471U, // ANDI16_MM
104
136k
    2281718486U,  // ANDI_B
105
136k
    134238014U, // AND_MM
106
136k
    134241389U, // AND_V
107
136k
    0U, // AND_V_D_PSEUDO
108
136k
    0U, // AND_V_H_PSEUDO
109
136k
    0U, // AND_V_W_PSEUDO
110
136k
    134239691U, // ANDi
111
136k
    134239691U, // ANDi64
112
136k
    134239691U, // ANDi_MM
113
136k
    134238028U, // APPEND
114
136k
    134235092U, // ASUB_S_B
115
136k
    134237099U, // ASUB_S_D
116
136k
    134238527U, // ASUB_S_H
117
136k
    134242388U, // ASUB_S_W
118
136k
    134235307U, // ASUB_U_B
119
136k
    134237566U, // ASUB_U_D
120
136k
    134238815U, // ASUB_U_H
121
136k
    134242856U, // ASUB_U_W
122
136k
    0U, // ATOMIC_CMP_SWAP_I16
123
136k
    0U, // ATOMIC_CMP_SWAP_I32
124
136k
    0U, // ATOMIC_CMP_SWAP_I64
125
136k
    0U, // ATOMIC_CMP_SWAP_I8
126
136k
    0U, // ATOMIC_LOAD_ADD_I16
127
136k
    0U, // ATOMIC_LOAD_ADD_I32
128
136k
    0U, // ATOMIC_LOAD_ADD_I64
129
136k
    0U, // ATOMIC_LOAD_ADD_I8
130
136k
    0U, // ATOMIC_LOAD_AND_I16
131
136k
    0U, // ATOMIC_LOAD_AND_I32
132
136k
    0U, // ATOMIC_LOAD_AND_I64
133
136k
    0U, // ATOMIC_LOAD_AND_I8
134
136k
    0U, // ATOMIC_LOAD_NAND_I16
135
136k
    0U, // ATOMIC_LOAD_NAND_I32
136
136k
    0U, // ATOMIC_LOAD_NAND_I64
137
136k
    0U, // ATOMIC_LOAD_NAND_I8
138
136k
    0U, // ATOMIC_LOAD_OR_I16
139
136k
    0U, // ATOMIC_LOAD_OR_I32
140
136k
    0U, // ATOMIC_LOAD_OR_I64
141
136k
    0U, // ATOMIC_LOAD_OR_I8
142
136k
    0U, // ATOMIC_LOAD_SUB_I16
143
136k
    0U, // ATOMIC_LOAD_SUB_I32
144
136k
    0U, // ATOMIC_LOAD_SUB_I64
145
136k
    0U, // ATOMIC_LOAD_SUB_I8
146
136k
    0U, // ATOMIC_LOAD_XOR_I16
147
136k
    0U, // ATOMIC_LOAD_XOR_I32
148
136k
    0U, // ATOMIC_LOAD_XOR_I64
149
136k
    0U, // ATOMIC_LOAD_XOR_I8
150
136k
    0U, // ATOMIC_SWAP_I16
151
136k
    0U, // ATOMIC_SWAP_I32
152
136k
    0U, // ATOMIC_SWAP_I64
153
136k
    0U, // ATOMIC_SWAP_I8
154
136k
    134239795U, // AUI
155
136k
    18279U, // AUIPC
156
136k
    134235178U, // AVER_S_B
157
136k
    134237249U, // AVER_S_D
158
136k
    134238665U, // AVER_S_H
159
136k
    134242588U, // AVER_S_W
160
136k
    134235393U, // AVER_U_B
161
136k
    134237716U, // AVER_U_D
162
136k
    134238953U, // AVER_U_H
163
136k
    134243006U, // AVER_U_W
164
136k
    134235120U, // AVE_S_B
165
136k
    134237181U, // AVE_S_D
166
136k
    134238597U, // AVE_S_H
167
136k
    134242470U, // AVE_S_W
168
136k
    134235335U, // AVE_U_B
169
136k
    134237648U, // AVE_U_D
170
136k
    134238885U, // AVE_U_H
171
136k
    134242938U, // AVE_U_W
172
136k
    23579U, // AddiuRxImmX16
173
136k
    1072155U, // AddiuRxPcImmX16
174
136k
    285236251U, // AddiuRxRxImm16
175
136k
    16800795U,  // AddiuRxRxImmX16
176
136k
    25189403U,  // AddiuRxRyOffMemX16
177
136k
    1336343U, // AddiuSpImm16
178
136k
    549911U,  // AddiuSpImmX16
179
136k
    134241261U, // AdduRxRyRz16
180
136k
    16797502U,  // AndRxRxRy16
181
136k
    0U, // B
182
136k
    541013U,  // B16_MM
183
136k
    134241260U, // BADDu
184
136k
    546393U,  // BAL
185
136k
    542494U,  // BALC
186
136k
    134240157U, // BALIGN
187
136k
    0U, // BAL_BR
188
136k
    167788585U, // BBIT0
189
136k
    167788717U, // BBIT032
190
136k
    167788710U, // BBIT1
191
136k
    167788726U, // BBIT132
192
136k
    542473U,  // BC
193
136k
    20351U, // BC0F
194
136k
    22218U, // BC0FL
195
136k
    23455U, // BC0T
196
136k
    22347U, // BC0TL
197
136k
    25733U, // BC1EQZ
198
136k
    20357U, // BC1F
199
136k
    22225U, // BC1FL
200
136k
    20357U, // BC1F_MM
201
136k
    25717U, // BC1NEZ
202
136k
    23461U, // BC1T
203
136k
    22354U, // BC1TL
204
136k
    23461U, // BC1T_MM
205
136k
    25741U, // BC2EQZ
206
136k
    20363U, // BC2F
207
136k
    22232U, // BC2FL
208
136k
    25725U, // BC2NEZ
209
136k
    23467U, // BC2T
210
136k
    22361U, // BC2TL
211
136k
    20369U, // BC3F
212
136k
    22239U, // BC3FL
213
136k
    23473U, // BC3T
214
136k
    22368U, // BC3TL
215
136k
    2281718555U,  // BCLRI_B
216
136k
    2281720292U,  // BCLRI_D
217
136k
    2281721946U,  // BCLRI_H
218
136k
    2281725581U,  // BCLRI_W
219
136k
    134235059U, // BCLR_B
220
136k
    134237023U, // BCLR_D
221
136k
    134238494U, // BCLR_H
222
136k
    134242304U, // BCLR_W
223
136k
    134240340U, // BEQ
224
136k
    134240340U, // BEQ64
225
136k
    134236044U, // BEQC
226
136k
    134240063U, // BEQL
227
136k
    16882U, // BEQZ16_MM
228
136k
    18246U, // BEQZALC
229
136k
    18394U, // BEQZC
230
136k
    18394U, // BEQZC_MM
231
136k
    134240340U, // BEQ_MM
232
136k
    134235917U, // BGEC
233
136k
    134236068U, // BGEUC
234
136k
    25500U, // BGEZ
235
136k
    25500U, // BGEZ64
236
136k
    22115U, // BGEZAL
237
136k
    18219U, // BGEZALC
238
136k
    22311U, // BGEZALL
239
136k
    23424U, // BGEZALS_MM
240
136k
    22115U, // BGEZAL_MM
241
136k
    18373U, // BGEZC
242
136k
    22391U, // BGEZL
243
136k
    25500U, // BGEZ_MM
244
136k
    25560U, // BGTZ
245
136k
    25560U, // BGTZ64
246
136k
    18255U, // BGTZALC
247
136k
    18401U, // BGTZC
248
136k
    22405U, // BGTZL
249
136k
    25560U, // BGTZ_MM
250
136k
    2298495744U,  // BINSLI_B
251
136k
    2298497481U,  // BINSLI_D
252
136k
    2298499135U,  // BINSLI_H
253
136k
    2298502770U,  // BINSLI_W
254
136k
    151012243U, // BINSL_B
255
136k
    151014033U, // BINSL_D
256
136k
    151015601U, // BINSL_H
257
136k
    151019280U, // BINSL_W
258
136k
    2298495805U,  // BINSRI_B
259
136k
    2298497526U,  // BINSRI_D
260
136k
    2298499180U,  // BINSRI_H
261
136k
    2298502815U,  // BINSRI_W
262
136k
    151012291U, // BINSR_B
263
136k
    151014289U, // BINSR_D
264
136k
    151015726U, // BINSR_H
265
136k
    151019570U, // BINSR_W
266
136k
    23733U, // BITREV
267
136k
    22477U, // BITSWAP
268
136k
    25506U, // BLEZ
269
136k
    25506U, // BLEZ64
270
136k
    18228U, // BLEZALC
271
136k
    18380U, // BLEZC
272
136k
    22398U, // BLEZL
273
136k
    25506U, // BLEZ_MM
274
136k
    134236062U, // BLTC
275
136k
    134236075U, // BLTUC
276
136k
    25566U, // BLTZ
277
136k
    25566U, // BLTZ64
278
136k
    22123U, // BLTZAL
279
136k
    18264U, // BLTZALC
280
136k
    22320U, // BLTZALL
281
136k
    23433U, // BLTZALS_MM
282
136k
    22123U, // BLTZAL_MM
283
136k
    18408U, // BLTZC
284
136k
    22412U, // BLTZL
285
136k
    25566U, // BLTZ_MM
286
136k
    2298495860U,  // BMNZI_B
287
136k
    151018662U, // BMNZ_V
288
136k
    2298495852U,  // BMZI_B
289
136k
    151018648U, // BMZ_V
290
136k
    134238058U, // BNE
291
136k
    134238058U, // BNE64
292
136k
    134235923U, // BNEC
293
136k
    2281718494U,  // BNEGI_B
294
136k
    2281720240U,  // BNEGI_D
295
136k
    2281721894U,  // BNEGI_H
296
136k
    2281725529U,  // BNEGI_W
297
136k
    134234814U, // BNEG_B
298
136k
    134236568U, // BNEG_D
299
136k
    134238222U, // BNEG_H
300
136k
    134241776U, // BNEG_W
301
136k
    134239940U, // BNEL
302
136k
    16874U, // BNEZ16_MM
303
136k
    18237U, // BNEZALC
304
136k
    18387U, // BNEZC
305
136k
    18387U, // BNEZC_MM
306
136k
    134238058U, // BNE_MM
307
136k
    134236082U, // BNVC
308
136k
    17803U, // BNZ_B
309
136k
    20233U, // BNZ_D
310
136k
    21363U, // BNZ_H
311
136k
    23711U, // BNZ_V
312
136k
    25463U, // BNZ_W
313
136k
    134236088U, // BOVC
314
136k
    540871U,  // BPOSGE32
315
136k
    0U, // BPOSGE32_PSEUDO
316
136k
    22080U, // BREAK
317
136k
    65909U, // BREAK16_MM
318
136k
    22080U, // BREAK_MM
319
136k
    2298495719U,  // BSELI_B
320
136k
    0U, // BSEL_D_PSEUDO
321
136k
    0U, // BSEL_FD_PSEUDO
322
136k
    0U, // BSEL_FW_PSEUDO
323
136k
    0U, // BSEL_H_PSEUDO
324
136k
    151018620U, // BSEL_V
325
136k
    0U, // BSEL_W_PSEUDO
326
136k
    2281718609U,  // BSETI_B
327
136k
    2281720330U,  // BSETI_D
328
136k
    2281721984U,  // BSETI_H
329
136k
    2281725619U,  // BSETI_W
330
136k
    134235275U, // BSET_B
331
136k
    134237385U, // BSET_D
332
136k
    134238783U, // BSET_H
333
136k
    134242762U, // BSET_W
334
136k
    17797U, // BZ_B
335
136k
    20217U, // BZ_D
336
136k
    21357U, // BZ_H
337
136k
    23698U, // BZ_V
338
136k
    25457U, // BZ_W
339
136k
    541278U,  // B_MM_Pseudo
340
136k
    402678723U, // BeqzRxImm16
341
136k
    25539U, // BeqzRxImmX16
342
136k
    1327710U, // Bimm16
343
136k
    541278U,  // BimmX16
344
136k
    402678696U, // BnezRxImm16
345
136k
    25512U, // BnezRxImmX16
346
136k
    9368U,  // Break16
347
136k
    1598417U, // Bteqz16
348
136k
    536893428U, // BteqzT8CmpX16
349
136k
    536892936U, // BteqzT8CmpiX16
350
136k
    536894397U, // BteqzT8SltX16
351
136k
    536892966U, // BteqzT8SltiX16
352
136k
    536894505U, // BteqzT8SltiuX16
353
136k
    536894541U, // BteqzT8SltuX16
354
136k
    549841U,  // BteqzX16
355
136k
    1598390U, // Btnez16
356
136k
    671111156U, // BtnezT8CmpX16
357
136k
    671110664U, // BtnezT8CmpiX16
358
136k
    671112125U, // BtnezT8SltX16
359
136k
    671110694U, // BtnezT8SltiX16
360
136k
    671112233U, // BtnezT8SltiuX16
361
136k
    671112269U, // BtnezT8SltuX16
362
136k
    549814U,  // BtnezX16
363
136k
    0U, // BuildPairF64
364
136k
    0U, // BuildPairF64_64
365
136k
    85859U, // CACHE
366
136k
    85859U, // CACHE_MM
367
136k
    85859U, // CACHE_R6
368
136k
    19003U, // CEIL_L_D64
369
136k
    23031U, // CEIL_L_S
370
136k
    20179U, // CEIL_W_D32
371
136k
    20179U, // CEIL_W_D64
372
136k
    20179U, // CEIL_W_MM
373
136k
    23353U, // CEIL_W_S
374
136k
    23353U, // CEIL_W_S_MM
375
136k
    134234890U, // CEQI_B
376
136k
    134236627U, // CEQI_D
377
136k
    134238281U, // CEQI_H
378
136k
    134241916U, // CEQI_W
379
136k
    134235044U, // CEQ_B
380
136k
    134236930U, // CEQ_D
381
136k
    134238472U, // CEQ_H
382
136k
    134242192U, // CEQ_W
383
136k
    16444U, // CFC1
384
136k
    16444U, // CFC1_MM
385
136k
    16968U, // CFCMSA
386
136k
    134243407U, // CINS
387
136k
    134243363U, // CINS32
388
136k
    19639U, // CLASS_D
389
136k
    23205U, // CLASS_S
390
136k
    134235129U, // CLEI_S_B
391
136k
    134237190U, // CLEI_S_D
392
136k
    134238606U, // CLEI_S_H
393
136k
    134242479U, // CLEI_S_W
394
136k
    2281718992U,  // CLEI_U_B
395
136k
    2281721305U,  // CLEI_U_D
396
136k
    2281722542U,  // CLEI_U_H
397
136k
    2281726595U,  // CLEI_U_W
398
136k
    134235111U, // CLE_S_B
399
136k
    134237172U, // CLE_S_D
400
136k
    134238588U, // CLE_S_H
401
136k
    134242461U, // CLE_S_W
402
136k
    134235326U, // CLE_U_B
403
136k
    134237639U, // CLE_U_D
404
136k
    134238876U, // CLE_U_H
405
136k
    134242929U, // CLE_U_W
406
136k
    22452U, // CLO
407
136k
    22452U, // CLO_MM
408
136k
    22452U, // CLO_R6
409
136k
    134235149U, // CLTI_S_B
410
136k
    134237210U, // CLTI_S_D
411
136k
    134238626U, // CLTI_S_H
412
136k
    134242499U, // CLTI_S_W
413
136k
    2281719012U,  // CLTI_U_B
414
136k
    2281721325U,  // CLTI_U_D
415
136k
    2281722562U,  // CLTI_U_H
416
136k
    2281726615U,  // CLTI_U_W
417
136k
    134235217U, // CLT_S_B
418
136k
    134237288U, // CLT_S_D
419
136k
    134238714U, // CLT_S_H
420
136k
    134242627U, // CLT_S_W
421
136k
    134235444U, // CLT_U_B
422
136k
    134237767U, // CLT_U_D
423
136k
    134239004U, // CLT_U_H
424
136k
    134243057U, // CLT_U_W
425
136k
    25534U, // CLZ
426
136k
    25534U, // CLZ_MM
427
136k
    25534U, // CLZ_R6
428
136k
    134235667U, // CMPGDU_EQ_QB
429
136k
    134235572U, // CMPGDU_LE_QB
430
136k
    134235786U, // CMPGDU_LT_QB
431
136k
    134235681U, // CMPGU_EQ_QB
432
136k
    134235586U, // CMPGU_LE_QB
433
136k
    134235800U, // CMPGU_LT_QB
434
136k
    17966U, // CMPU_EQ_QB
435
136k
    17871U, // CMPU_LE_QB
436
136k
    18085U, // CMPU_LT_QB
437
136k
    134236919U, // CMP_EQ_D
438
136k
    21548U, // CMP_EQ_PH
439
136k
    134240864U, // CMP_EQ_S
440
136k
    134236489U, // CMP_F_D
441
136k
    134240675U, // CMP_F_S
442
136k
    134236333U, // CMP_LE_D
443
136k
    21444U, // CMP_LE_PH
444
136k
    134240596U, // CMP_LE_S
445
136k
    134237410U, // CMP_LT_D
446
136k
    21717U, // CMP_LT_PH
447
136k
    134240959U, // CMP_LT_S
448
136k
    134236507U, // CMP_SAF_D
449
136k
    134240685U, // CMP_SAF_S
450
136k
    134236946U, // CMP_SEQ_D
451
136k
    134240883U, // CMP_SEQ_S
452
136k
    134236370U, // CMP_SLE_D
453
136k
    134240625U, // CMP_SLE_S
454
136k
    134237437U, // CMP_SLT_D
455
136k
    134240978U, // CMP_SLT_S
456
136k
    134236994U, // CMP_SUEQ_D
457
136k
    134240914U, // CMP_SUEQ_S
458
136k
    134236418U, // CMP_SULE_D
459
136k
    134240656U, // CMP_SULE_S
460
136k
    134237485U, // CMP_SULT_D
461
136k
    134241009U, // CMP_SULT_S
462
136k
    134236876U, // CMP_SUN_D
463
136k
    134240837U, // CMP_SUN_S
464
136k
    134236974U, // CMP_UEQ_D
465
136k
    134240903U, // CMP_UEQ_S
466
136k
    134236398U, // CMP_ULE_D
467
136k
    134240645U, // CMP_ULE_S
468
136k
    134237465U, // CMP_ULT_D
469
136k
    134240998U, // CMP_ULT_S
470
136k
    134236858U, // CMP_UN_D
471
136k
    134240827U, // CMP_UN_S
472
136k
    9454U,  // CONSTPOOL_ENTRY
473
136k
    0U, // COPY_FD_PSEUDO
474
136k
    0U, // COPY_FW_PSEUDO
475
136k
    2952807544U,  // COPY_S_B
476
136k
    2952809637U,  // COPY_S_D
477
136k
    2952811052U,  // COPY_S_H
478
136k
    2952814987U,  // COPY_S_W
479
136k
    2952807759U,  // COPY_U_B
480
136k
    2952810104U,  // COPY_U_D
481
136k
    2952811319U,  // COPY_U_H
482
136k
    2952815394U,  // COPY_U_W
483
136k
    1867863U, // CTC1
484
136k
    1867863U, // CTC1_MM
485
136k
    16976U, // CTCMSA
486
136k
    22833U, // CVT_D32_S
487
136k
    23896U, // CVT_D32_W
488
136k
    23896U, // CVT_D32_W_MM
489
136k
    22087U, // CVT_D64_L
490
136k
    22833U, // CVT_D64_S
491
136k
    23896U, // CVT_D64_W
492
136k
    22833U, // CVT_D_S_MM
493
136k
    19024U, // CVT_L_D64
494
136k
    19024U, // CVT_L_D64_MM
495
136k
    23052U, // CVT_L_S
496
136k
    23052U, // CVT_L_S_MM
497
136k
    19362U, // CVT_S_D32
498
136k
    19362U, // CVT_S_D32_MM
499
136k
    19362U, // CVT_S_D64
500
136k
    22096U, // CVT_S_L
501
136k
    24651U, // CVT_S_W
502
136k
    24651U, // CVT_S_W_MM
503
136k
    20200U, // CVT_W_D32
504
136k
    20200U, // CVT_W_D64
505
136k
    20200U, // CVT_W_MM
506
136k
    23374U, // CVT_W_S
507
136k
    23374U, // CVT_W_S_MM
508
136k
    19183U, // C_EQ_D32
509
136k
    19183U, // C_EQ_D64
510
136k
    23128U, // C_EQ_S
511
136k
    18754U, // C_F_D32
512
136k
    18754U, // C_F_D64
513
136k
    22940U, // C_F_S
514
136k
    18597U, // C_LE_D32
515
136k
    18597U, // C_LE_D64
516
136k
    22860U, // C_LE_S
517
136k
    19674U, // C_LT_D32
518
136k
    19674U, // C_LT_D64
519
136k
    23223U, // C_LT_S
520
136k
    18588U, // C_NGE_D32
521
136k
    18588U, // C_NGE_D64
522
136k
    22851U, // C_NGE_S
523
136k
    18623U, // C_NGLE_D32
524
136k
    18623U, // C_NGLE_D64
525
136k
    22878U, // C_NGLE_S
526
136k
    19040U, // C_NGL_D32
527
136k
    19040U, // C_NGL_D64
528
136k
    23068U, // C_NGL_S
529
136k
    19665U, // C_NGT_D32
530
136k
    19665U, // C_NGT_D64
531
136k
    23214U, // C_NGT_S
532
136k
    18633U, // C_OLE_D32
533
136k
    18633U, // C_OLE_D64
534
136k
    22888U, // C_OLE_S
535
136k
    19700U, // C_OLT_D32
536
136k
    19700U, // C_OLT_D64
537
136k
    23241U, // C_OLT_S
538
136k
    19209U, // C_SEQ_D32
539
136k
    19209U, // C_SEQ_D64
540
136k
    23146U, // C_SEQ_S
541
136k
    18824U, // C_SF_D32
542
136k
    18824U, // C_SF_D64
543
136k
    22986U, // C_SF_S
544
136k
    19237U, // C_UEQ_D32
545
136k
    19237U, // C_UEQ_D64
546
136k
    23166U, // C_UEQ_S
547
136k
    18661U, // C_ULE_D32
548
136k
    18661U, // C_ULE_D64
549
136k
    22908U, // C_ULE_S
550
136k
    19728U, // C_ULT_D32
551
136k
    19728U, // C_ULT_D64
552
136k
    23261U, // C_ULT_S
553
136k
    19122U, // C_UN_D32
554
136k
    19122U, // C_UN_D64
555
136k
    23091U, // C_UN_S
556
136k
    22516U, // CmpRxRy16
557
136k
    939546120U, // CmpiRxImm16
558
136k
    22024U, // CmpiRxImmX16
559
136k
    549945U,  // Constant32
560
136k
    134237991U, // DADD
561
136k
    134239684U, // DADDi
562
136k
    134241306U, // DADDiu
563
136k
    134241267U, // DADDu
564
136k
    8689123U, // DAHI
565
136k
    134240165U, // DALIGN
566
136k
    8689184U, // DATI
567
136k
    134239794U, // DAUI
568
136k
    22476U, // DBITSWAP
569
136k
    22451U, // DCLO
570
136k
    22451U, // DCLO_R6
571
136k
    25533U, // DCLZ
572
136k
    25533U, // DCLZ_R6
573
136k
    134241469U, // DDIV
574
136k
    134241377U, // DDIVU
575
136k
    9480U,  // DERET
576
136k
    9480U,  // DERET_MM
577
136k
    134243425U, // DEXT
578
136k
    134243400U, // DEXTM
579
136k
    134243438U, // DEXTU
580
136k
    546247U,  // DI
581
136k
    134243413U, // DINS
582
136k
    134243393U, // DINSM
583
136k
    134243431U, // DINSU
584
136k
    134241470U, // DIV
585
136k
    134241378U, // DIVU
586
136k
    134235238U, // DIV_S_B
587
136k
    134237331U, // DIV_S_D
588
136k
    134238735U, // DIV_S_H
589
136k
    134242670U, // DIV_S_W
590
136k
    134235453U, // DIV_U_B
591
136k
    134237798U, // DIV_U_D
592
136k
    134239013U, // DIV_U_H
593
136k
    134243088U, // DIV_U_W
594
136k
    546247U,  // DI_MM
595
136k
    134234690U, // DLSA
596
136k
    134234690U, // DLSA_R6
597
136k
    134234121U, // DMFC0
598
136k
    16450U, // DMFC1
599
136k
    134234372U, // DMFC2
600
136k
    134238036U, // DMOD
601
136k
    134241281U, // DMODU
602
136k
    134234128U, // DMTC0
603
136k
    1867869U, // DMTC1
604
136k
    134234379U, // DMTC2
605
136k
    134239671U, // DMUH
606
136k
    134241299U, // DMUHU
607
136k
    134240103U, // DMUL
608
136k
    23495U, // DMULT
609
136k
    23641U, // DMULTu
610
136k
    134241343U, // DMULU
611
136k
    134240103U, // DMUL_R6
612
136k
    134237239U, // DOTP_S_D
613
136k
    134238655U, // DOTP_S_H
614
136k
    134242538U, // DOTP_S_W
615
136k
    134237706U, // DOTP_U_D
616
136k
    134238943U, // DOTP_U_H
617
136k
    134242996U, // DOTP_U_W
618
136k
    151014368U, // DPADD_S_D
619
136k
    151015784U, // DPADD_S_H
620
136k
    151019657U, // DPADD_S_W
621
136k
    151014835U, // DPADD_U_D
622
136k
    151016072U, // DPADD_U_H
623
136k
    151020125U, // DPADD_U_W
624
136k
    134239524U, // DPAQX_SA_W_PH
625
136k
    134239607U, // DPAQX_S_W_PH
626
136k
    134241998U, // DPAQ_SA_L_W
627
136k
    134239566U, // DPAQ_S_W_PH
628
136k
    134239859U, // DPAU_H_QBL
629
136k
    134240355U, // DPAU_H_QBR
630
136k
    134239645U, // DPAX_W_PH
631
136k
    134239514U, // DPA_W_PH
632
136k
    22521U, // DPOP
633
136k
    134239539U, // DPSQX_SA_W_PH
634
136k
    134239621U, // DPSQX_S_W_PH
635
136k
    134242011U, // DPSQ_SA_L_W
636
136k
    134239594U, // DPSQ_S_W_PH
637
136k
    151014335U, // DPSUB_S_D
638
136k
    151015763U, // DPSUB_S_H
639
136k
    151019624U, // DPSUB_S_W
640
136k
    151014802U, // DPSUB_U_D
641
136k
    151016051U, // DPSUB_U_H
642
136k
    151020092U, // DPSUB_U_W
643
136k
    134239871U, // DPSU_H_QBL
644
136k
    134240367U, // DPSU_H_QBR
645
136k
    134239656U, // DPSX_W_PH
646
136k
    134239635U, // DPS_W_PH
647
136k
    134240512U, // DROTR
648
136k
    134234351U, // DROTR32
649
136k
    134241513U, // DROTRV
650
136k
    21370U, // DSBH
651
136k
    25610U, // DSDIV
652
136k
    20275U, // DSHD
653
136k
    134240057U, // DSLL
654
136k
    134234321U, // DSLL32
655
136k
    1073764153U,  // DSLL64_32
656
136k
    134241475U, // DSLLV
657
136k
    134234684U, // DSRA
658
136k
    134234303U, // DSRA32
659
136k
    134241454U, // DSRAV
660
136k
    134240069U, // DSRL
661
136k
    134234329U, // DSRL32
662
136k
    134241482U, // DSRLV
663
136k
    134235901U, // DSUB
664
136k
    134241246U, // DSUBu
665
136k
    25596U, // DUDIV
666
136k
    25611U, // DivRxRy16
667
136k
    25597U, // DivuRxRy16
668
136k
    9438U,  // EHB
669
136k
    9438U,  // EHB_MM
670
136k
    546259U,  // EI
671
136k
    546259U,  // EI_MM
672
136k
    9481U,  // ERET
673
136k
    9481U,  // ERET_MM
674
136k
    134243426U, // EXT
675
136k
    134240324U, // EXTP
676
136k
    134240221U, // EXTPDP
677
136k
    134241497U, // EXTPDPV
678
136k
    134241506U, // EXTPV
679
136k
    134242731U, // EXTRV_RS_W
680
136k
    134242285U, // EXTRV_R_W
681
136k
    134238744U, // EXTRV_S_H
682
136k
    134243168U, // EXTRV_W
683
136k
    134242720U, // EXTR_RS_W
684
136k
    134242264U, // EXTR_R_W
685
136k
    134238675U, // EXTR_S_H
686
136k
    134242363U, // EXTR_W
687
136k
    134243419U, // EXTS
688
136k
    134243371U, // EXTS32
689
136k
    134243426U, // EXT_MM
690
136k
    0U, // ExtractElementF64
691
136k
    0U, // ExtractElementF64_64
692
136k
    0U, // FABS_D
693
136k
    19631U, // FABS_D32
694
136k
    19631U, // FABS_D64
695
136k
    19631U, // FABS_MM
696
136k
    23198U, // FABS_S
697
136k
    23198U, // FABS_S_MM
698
136k
    0U, // FABS_W
699
136k
    134236265U, // FADD_D
700
136k
    134236266U, // FADD_D32
701
136k
    134236266U, // FADD_D64
702
136k
    134236266U, // FADD_MM
703
136k
    134240572U, // FADD_S
704
136k
    134240572U, // FADD_S_MM
705
136k
    134241633U, // FADD_W
706
136k
    134236499U, // FCAF_D
707
136k
    134241752U, // FCAF_W
708
136k
    134236929U, // FCEQ_D
709
136k
    134242191U, // FCEQ_W
710
136k
    19638U, // FCLASS_D
711
136k
    25015U, // FCLASS_W
712
136k
    134236343U, // FCLE_D
713
136k
    134241675U, // FCLE_W
714
136k
    134237420U, // FCLT_D
715
136k
    134242770U, // FCLT_W
716
136k
    2204821U, // FCMP_D32
717
136k
    2204821U, // FCMP_D32_MM
718
136k
    2204821U, // FCMP_D64
719
136k
    2466965U, // FCMP_S32
720
136k
    2466965U, // FCMP_S32_MM
721
136k
    134236439U, // FCNE_D
722
136k
    134241709U, // FCNE_W
723
136k
    134237039U, // FCOR_D
724
136k
    134242320U, // FCOR_W
725
136k
    134236985U, // FCUEQ_D
726
136k
    134242207U, // FCUEQ_W
727
136k
    134236409U, // FCULE_D
728
136k
    134241691U, // FCULE_W
729
136k
    134237476U, // FCULT_D
730
136k
    134242786U, // FCULT_W
731
136k
    134236455U, // FCUNE_D
732
136k
    134241725U, // FCUNE_W
733
136k
    134236868U, // FCUN_D
734
136k
    134242097U, // FCUN_W
735
136k
    134237862U, // FDIV_D
736
136k
    134237863U, // FDIV_D32
737
136k
    134237863U, // FDIV_D64
738
136k
    134237863U, // FDIV_MM
739
136k
    134241045U, // FDIV_S
740
136k
    134241045U, // FDIV_S_MM
741
136k
    134243152U, // FDIV_W
742
136k
    134238402U, // FEXDO_H
743
136k
    134242113U, // FEXDO_W
744
136k
    134236152U, // FEXP2_D
745
136k
    0U, // FEXP2_D_1_PSEUDO
746
136k
    134241536U, // FEXP2_W
747
136k
    0U, // FEXP2_W_1_PSEUDO
748
136k
    19064U, // FEXUPL_D
749
136k
    24311U, // FEXUPL_W
750
136k
    19327U, // FEXUPR_D
751
136k
    24608U, // FEXUPR_W
752
136k
    19569U, // FFINT_S_D
753
136k
    24908U, // FFINT_S_W
754
136k
    20048U, // FFINT_U_D
755
136k
    25338U, // FFINT_U_W
756
136k
    19074U, // FFQL_D
757
136k
    24321U, // FFQL_W
758
136k
    19337U, // FFQR_D
759
136k
    24618U, // FFQR_W
760
136k
    17277U, // FILL_B
761
136k
    19049U, // FILL_D
762
136k
    0U, // FILL_FD_PSEUDO
763
136k
    0U, // FILL_FW_PSEUDO
764
136k
    20635U, // FILL_H
765
136k
    24296U, // FILL_W
766
136k
    18415U, // FLOG2_D
767
136k
    23799U, // FLOG2_W
768
136k
    19013U, // FLOOR_L_D64
769
136k
    23041U, // FLOOR_L_S
770
136k
    20189U, // FLOOR_W_D32
771
136k
    20189U, // FLOOR_W_D64
772
136k
    20189U, // FLOOR_W_MM
773
136k
    23363U, // FLOOR_W_S
774
136k
    23363U, // FLOOR_W_S_MM
775
136k
    151013489U, // FMADD_D
776
136k
    151018857U, // FMADD_W
777
136k
    134236190U, // FMAX_A_D
778
136k
    134241574U, // FMAX_A_W
779
136k
    134237937U, // FMAX_D
780
136k
    134243177U, // FMAX_W
781
136k
    134236170U, // FMIN_A_D
782
136k
    134241554U, // FMIN_A_W
783
136k
    134236842U, // FMIN_D
784
136k
    134242089U, // FMIN_W
785
136k
    20150U, // FMOV_D32
786
136k
    20150U, // FMOV_D32_MM
787
136k
    20150U, // FMOV_D64
788
136k
    23324U, // FMOV_S
789
136k
    23324U, // FMOV_S_MM
790
136k
    151013447U, // FMSUB_D
791
136k
    151018815U, // FMSUB_W
792
136k
    134236826U, // FMUL_D
793
136k
    134236827U, // FMUL_D32
794
136k
    134236827U, // FMUL_D64
795
136k
    134236827U, // FMUL_MM
796
136k
    134240805U, // FMUL_S
797
136k
    134240805U, // FMUL_S_MM
798
136k
    134242073U, // FMUL_W
799
136k
    18841U, // FNEG_D32
800
136k
    18841U, // FNEG_D64
801
136k
    18841U, // FNEG_MM
802
136k
    23002U, // FNEG_S
803
136k
    23002U, // FNEG_S_MM
804
136k
    19175U, // FRCP_D
805
136k
    24394U, // FRCP_W
806
136k
    19786U, // FRINT_D
807
136k
    25084U, // FRINT_W
808
136k
    19814U, // FRSQRT_D
809
136k
    25112U, // FRSQRT_W
810
136k
    134236518U, // FSAF_D
811
136k
    134241760U, // FSAF_W
812
136k
    134236957U, // FSEQ_D
813
136k
    134242199U, // FSEQ_W
814
136k
    134236381U, // FSLE_D
815
136k
    134241683U, // FSLE_W
816
136k
    134237448U, // FSLT_D
817
136k
    134242778U, // FSLT_W
818
136k
    134236447U, // FSNE_D
819
136k
    134241717U, // FSNE_W
820
136k
    134237047U, // FSOR_D
821
136k
    134242328U, // FSOR_W
822
136k
    19805U, // FSQRT_D
823
136k
    19806U, // FSQRT_D32
824
136k
    19806U, // FSQRT_D64
825
136k
    19806U, // FSQRT_MM
826
136k
    23301U, // FSQRT_S
827
136k
    23301U, // FSQRT_S_MM
828
136k
    25103U, // FSQRT_W
829
136k
    134236223U, // FSUB_D
830
136k
    134236224U, // FSUB_D32
831
136k
    134236224U, // FSUB_D64
832
136k
    134236224U, // FSUB_MM
833
136k
    134240554U, // FSUB_S
834
136k
    134240554U, // FSUB_S_MM
835
136k
    134241591U, // FSUB_W
836
136k
    134237006U, // FSUEQ_D
837
136k
    134242216U, // FSUEQ_W
838
136k
    134236430U, // FSULE_D
839
136k
    134241700U, // FSULE_W
840
136k
    134237497U, // FSULT_D
841
136k
    134242795U, // FSULT_W
842
136k
    134236464U, // FSUNE_D
843
136k
    134241734U, // FSUNE_W
844
136k
    134236887U, // FSUN_D
845
136k
    134242105U, // FSUN_W
846
136k
    19580U, // FTINT_S_D
847
136k
    24919U, // FTINT_S_W
848
136k
    20059U, // FTINT_U_D
849
136k
    25349U, // FTINT_U_W
850
136k
    134238479U, // FTQ_H
851
136k
    134242225U, // FTQ_W
852
136k
    19402U, // FTRUNC_S_D
853
136k
    24691U, // FTRUNC_S_W
854
136k
    19869U, // FTRUNC_U_D
855
136k
    25159U, // FTRUNC_U_W
856
136k
    1224758783U,  // GotPrologue16
857
136k
    134237142U, // HADD_S_D
858
136k
    134238558U, // HADD_S_H
859
136k
    134242431U, // HADD_S_W
860
136k
    134237609U, // HADD_U_D
861
136k
    134238846U, // HADD_U_H
862
136k
    134242899U, // HADD_U_W
863
136k
    134237109U, // HSUB_S_D
864
136k
    134238537U, // HSUB_S_H
865
136k
    134242398U, // HSUB_S_W
866
136k
    134237576U, // HSUB_U_D
867
136k
    134238825U, // HSUB_U_H
868
136k
    134242866U, // HSUB_U_W
869
136k
    134235508U, // ILVEV_B
870
136k
    134237853U, // ILVEV_D
871
136k
    134239068U, // ILVEV_H
872
136k
    134243143U, // ILVEV_W
873
136k
    134235036U, // ILVL_B
874
136k
    134236834U, // ILVL_D
875
136k
    134238394U, // ILVL_H
876
136k
    134242081U, // ILVL_W
877
136k
    134234788U, // ILVOD_B
878
136k
    134236307U, // ILVOD_D
879
136k
    134238196U, // ILVOD_H
880
136k
    134241666U, // ILVOD_W
881
136k
    134235084U, // ILVR_B
882
136k
    134237082U, // ILVR_D
883
136k
    134238519U, // ILVR_H
884
136k
    134242371U, // ILVR_W
885
136k
    134243408U, // INS
886
136k
    44582043U,  // INSERT_B
887
136k
    0U, // INSERT_B_VIDX_PSEUDO
888
136k
    44584275U,  // INSERT_D
889
136k
    0U, // INSERT_D_VIDX_PSEUDO
890
136k
    0U, // INSERT_FD_PSEUDO
891
136k
    0U, // INSERT_FD_VIDX_PSEUDO
892
136k
    0U, // INSERT_FW_PSEUDO
893
136k
    0U, // INSERT_FW_VIDX_PSEUDO
894
136k
    44585551U,  // INSERT_H
895
136k
    0U, // INSERT_H_VIDX_PSEUDO
896
136k
    44589573U,  // INSERT_W
897
136k
    0U, // INSERT_W_VIDX_PSEUDO
898
136k
    16801009U,  // INSV
899
136k
    52970157U,  // INSVE_B
900
136k
    52971833U,  // INSVE_D
901
136k
    52973565U,  // INSVE_H
902
136k
    52977103U,  // INSVE_W
903
136k
    134243408U, // INS_MM
904
136k
    546365U,  // J
905
136k
    546398U,  // JAL
906
136k
    22768U, // JALR
907
136k
    547056U,  // JALR16_MM
908
136k
    22768U, // JALR64
909
136k
    0U, // JALR64Pseudo
910
136k
    0U, // JALRPseudo
911
136k
    541104U,  // JALRS16_MM
912
136k
    23442U, // JALRS_MM
913
136k
    17822U, // JALR_HB
914
136k
    22768U, // JALR_MM
915
136k
    547706U,  // JALS_MM
916
136k
    549771U,  // JALX
917
136k
    549771U,  // JALX_MM
918
136k
    546398U,  // JAL_MM
919
136k
    18212U, // JIALC
920
136k
    18201U, // JIC
921
136k
    547052U,  // JR
922
136k
    541091U,  // JR16_MM
923
136k
    547052U,  // JR64
924
136k
    546873U,  // JRADDIUSP
925
136k
    542610U,  // JRC16_MM
926
136k
    542103U,  // JR_HB
927
136k
    542103U,  // JR_HB_R6
928
136k
    547052U,  // JR_MM
929
136k
    546365U,  // J_MM
930
136k
    2905694U, // Jal16
931
136k
    3167838U, // JalB16
932
136k
    546398U,  // JalOneReg
933
136k
    22110U, // JalTwoReg
934
136k
    9430U,  // JrRa16
935
136k
    9421U,  // JrcRa16
936
136k
    549872U,  // JrcRx16
937
136k
    540673U,  // JumpLinkReg16
938
136k
    58738087U,  // LB
939
136k
    58738087U,  // LB64
940
136k
    58737088U,  // LBU16_MM
941
136k
    1358979985U,  // LBUX
942
136k
    58738087U,  // LB_MM
943
136k
    58743769U,  // LBu
944
136k
    58743769U,  // LBu64
945
136k
    58743769U,  // LBu_MM
946
136k
    58740538U,  // LD
947
136k
    58736688U,  // LDC1
948
136k
    58736688U,  // LDC164
949
136k
    58736688U,  // LDC1_MM
950
136k
    58736888U,  // LDC2
951
136k
    58736888U,  // LDC2_R6
952
136k
    58736947U,  // LDC3
953
136k
    17103U, // LDI_B
954
136k
    18857U, // LDI_D
955
136k
    20511U, // LDI_H
956
136k
    24146U, // LDI_W
957
136k
    58742458U,  // LDL
958
136k
    18273U, // LDPC
959
136k
    58742954U,  // LDR
960
136k
    1358970992U,  // LDXC1
961
136k
    1358970992U,  // LDXC164
962
136k
    58737301U,  // LD_B
963
136k
    58738820U,  // LD_D
964
136k
    58740709U,  // LD_H
965
136k
    58744179U,  // LD_W
966
136k
    25189403U,  // LEA_ADDiu
967
136k
    25189402U,  // LEA_ADDiu64
968
136k
    25189403U,  // LEA_ADDiu_MM
969
136k
    58741643U,  // LH
970
136k
    58741643U,  // LH64
971
136k
    58737111U,  // LHU16_MM
972
136k
    1358979974U,  // LHX
973
136k
    58741643U,  // LH_MM
974
136k
    58743822U,  // LHu
975
136k
    58743822U,  // LHu64
976
136k
    58743822U,  // LHu_MM
977
136k
    16751U, // LI16_MM
978
136k
    58742563U,  // LL
979
136k
    58740537U,  // LLD
980
136k
    58740537U,  // LLD_R6
981
136k
    58742563U,  // LL_MM
982
136k
    58742563U,  // LL_R6
983
136k
    58736647U,  // LOAD_ACC128
984
136k
    58736647U,  // LOAD_ACC64
985
136k
    58736647U,  // LOAD_ACC64DSP
986
136k
    58742794U,  // LOAD_CCOND_DSP
987
136k
    0U, // LONG_BRANCH_ADDiu
988
136k
    0U, // LONG_BRANCH_DADDiu
989
136k
    0U, // LONG_BRANCH_LUi
990
136k
    134234691U, // LSA
991
136k
    134234691U, // LSA_R6
992
136k
    1358971006U,  // LUXC1
993
136k
    1358971006U,  // LUXC164
994
136k
    1358971006U,  // LUXC1_MM
995
136k
    33576504U,  // LUi
996
136k
    33576504U,  // LUi64
997
136k
    33576504U,  // LUi_MM
998
136k
    58745726U,  // LW
999
136k
    58737118U,  // LW16_MM
1000
136k
    58745726U,  // LW64
1001
136k
    58736740U,  // LWC1
1002
136k
    58736740U,  // LWC1_MM
1003
136k
    58736914U,  // LWC2
1004
136k
    58736914U,  // LWC2_R6
1005
136k
    58736959U,  // LWC3
1006
136k
    58745726U,  // LWGP_MM
1007
136k
    58742637U,  // LWL
1008
136k
    58742637U,  // LWL64
1009
136k
    58742637U,  // LWL_MM
1010
136k
    3522956U, // LWM16_MM
1011
136k
    3522785U, // LWM32_MM
1012
136k
    3528595U, // LWM_MM
1013
136k
    18310U, // LWPC
1014
136k
    137290U,  // LWP_MM
1015
136k
    58743054U,  // LWR
1016
136k
    58743054U,  // LWR64
1017
136k
    58743054U,  // LWR_MM
1018
136k
    58745726U,  // LWSP_MM
1019
136k
    18303U, // LWUPC
1020
136k
    58743912U,  // LWU_MM
1021
136k
    1358979991U,  // LWX
1022
136k
    1358971020U,  // LWXC1
1023
136k
    1358971020U,  // LWXC1_MM
1024
136k
    1358977945U,  // LWXS_MM
1025
136k
    58745726U,  // LW_MM
1026
136k
    58743912U,  // LWu
1027
136k
    58738087U,  // LbRxRyOffMemX16
1028
136k
    58743769U,  // LbuRxRyOffMemX16
1029
136k
    58741643U,  // LhRxRyOffMemX16
1030
136k
    58743822U,  // LhuRxRyOffMemX16
1031
136k
    939546111U, // LiRxImm16
1032
136k
    22005U, // LiRxImmAlignX16
1033
136k
    22015U, // LiRxImmX16
1034
136k
    33571334U,  // LoadAddr32Imm
1035
136k
    58737158U,  // LoadAddr32Reg
1036
136k
    33576447U,  // LoadImm32Reg
1037
136k
    22019U, // LoadImm64Reg
1038
136k
    3695486U, // LwConstant32
1039
136k
    268460926U, // LwRxPcTcp16
1040
136k
    25470U, // LwRxPcTcpX16
1041
136k
    58745726U,  // LwRxRyOffMemX16
1042
136k
    1493197694U,  // LwRxSpImmX16
1043
136k
    20269U, // MADD
1044
136k
    151013751U, // MADDF_D
1045
136k
    151017921U, // MADDF_S
1046
136k
    151015667U, // MADDR_Q_H
1047
136k
    151019386U, // MADDR_Q_W
1048
136k
    23546U, // MADDU
1049
136k
    134241274U, // MADDU_DSP
1050
136k
    23546U, // MADDU_MM
1051
136k
    151012706U, // MADDV_B
1052
136k
    151015051U, // MADDV_D
1053
136k
    151016266U, // MADDV_H
1054
136k
    151020341U, // MADDV_W
1055
136k
    134236274U, // MADD_D32
1056
136k
    134236274U, // MADD_D32_MM
1057
136k
    134236274U, // MADD_D64
1058
136k
    134237997U, // MADD_DSP
1059
136k
    20269U, // MADD_MM
1060
136k
    151015637U, // MADD_Q_H
1061
136k
    151019356U, // MADD_Q_W
1062
136k
    134240571U, // MADD_S
1063
136k
    134240571U, // MADD_S_MM
1064
136k
    134239974U, // MAQ_SA_W_PHL
1065
136k
    134240436U, // MAQ_SA_W_PHR
1066
136k
    134240002U, // MAQ_S_W_PHL
1067
136k
    134240464U, // MAQ_S_W_PHR
1068
136k
    134236215U, // MAXA_D
1069
136k
    134240544U, // MAXA_S
1070
136k
    134235159U, // MAXI_S_B
1071
136k
    134237220U, // MAXI_S_D
1072
136k
    134238636U, // MAXI_S_H
1073
136k
    134242509U, // MAXI_S_W
1074
136k
    2281719022U,  // MAXI_U_B
1075
136k
    2281721335U,  // MAXI_U_D
1076
136k
    2281722572U,  // MAXI_U_H
1077
136k
    2281726625U,  // MAXI_U_W
1078
136k
    134234740U, // MAX_A_B
1079
136k
    134236191U, // MAX_A_D
1080
136k
    134238148U, // MAX_A_H
1081
136k
    134241575U, // MAX_A_W
1082
136k
    134237938U, // MAX_D
1083
136k
    134241111U, // MAX_S
1084
136k
    134235247U, // MAX_S_B
1085
136k
    134237340U, // MAX_S_D
1086
136k
    134238755U, // MAX_S_H
1087
136k
    134242690U, // MAX_S_W
1088
136k
    134235462U, // MAX_U_B
1089
136k
    134237807U, // MAX_U_D
1090
136k
    134239022U, // MAX_U_H
1091
136k
    134243097U, // MAX_U_W
1092
136k
    134234122U, // MFC0
1093
136k
    16451U, // MFC1
1094
136k
    16451U, // MFC1_MM
1095
136k
    134234373U, // MFC2
1096
136k
    16457U, // MFHC1_D32
1097
136k
    16457U, // MFHC1_D64
1098
136k
    16457U, // MFHC1_MM
1099
136k
    546281U,  // MFHI
1100
136k
    546281U,  // MFHI16_MM
1101
136k
    546281U,  // MFHI64
1102
136k
    21993U, // MFHI_DSP
1103
136k
    546281U,  // MFHI_MM
1104
136k
    546745U,  // MFLO
1105
136k
    546745U,  // MFLO16_MM
1106
136k
    546745U,  // MFLO64
1107
136k
    22457U, // MFLO_DSP
1108
136k
    546745U,  // MFLO_MM
1109
136k
    134236200U, // MINA_D
1110
136k
    134240536U, // MINA_S
1111
136k
    134235139U, // MINI_S_B
1112
136k
    134237200U, // MINI_S_D
1113
136k
    134238616U, // MINI_S_H
1114
136k
    134242489U, // MINI_S_W
1115
136k
    2281719002U,  // MINI_U_B
1116
136k
    2281721315U,  // MINI_U_D
1117
136k
    2281722552U,  // MINI_U_H
1118
136k
    2281726605U,  // MINI_U_W
1119
136k
    134234721U, // MIN_A_B
1120
136k
    134236171U, // MIN_A_D
1121
136k
    134238129U, // MIN_A_H
1122
136k
    134241555U, // MIN_A_W
1123
136k
    134236843U, // MIN_D
1124
136k
    134240812U, // MIN_S
1125
136k
    134235169U, // MIN_S_B
1126
136k
    134237230U, // MIN_S_D
1127
136k
    134238646U, // MIN_S_H
1128
136k
    134242529U, // MIN_S_W
1129
136k
    134235384U, // MIN_U_B
1130
136k
    134237697U, // MIN_U_D
1131
136k
    134238934U, // MIN_U_H
1132
136k
    134242987U, // MIN_U_W
1133
136k
    0U, // MIPSeh_return32
1134
136k
    0U, // MIPSeh_return64
1135
136k
    134238037U, // MOD
1136
136k
    134235899U, // MODSUB
1137
136k
    134241282U, // MODU
1138
136k
    134235102U, // MOD_S_B
1139
136k
    134237163U, // MOD_S_D
1140
136k
    134238579U, // MOD_S_H
1141
136k
    134242452U, // MOD_S_W
1142
136k
    134235317U, // MOD_U_B
1143
136k
    134237630U, // MOD_U_D
1144
136k
    134238867U, // MOD_U_H
1145
136k
    134242920U, // MOD_U_W
1146
136k
    20345U, // MOVE16_MM
1147
136k
    67491813U,  // MOVEP_MM
1148
136k
    23668U, // MOVE_V
1149
136k
    134236560U, // MOVF_D32
1150
136k
    134236560U, // MOVF_D32_MM
1151
136k
    134236560U, // MOVF_D64
1152
136k
    134238109U, // MOVF_I
1153
136k
    134238109U, // MOVF_I64
1154
136k
    134238109U, // MOVF_I_MM
1155
136k
    134240722U, // MOVF_S
1156
136k
    134240722U, // MOVF_S_MM
1157
136k
    134236895U, // MOVN_I64_D64
1158
136k
    134240173U, // MOVN_I64_I
1159
136k
    134240173U, // MOVN_I64_I64
1160
136k
    134240848U, // MOVN_I64_S
1161
136k
    134236895U, // MOVN_I_D32
1162
136k
    134236895U, // MOVN_I_D32_MM
1163
136k
    134236895U, // MOVN_I_D64
1164
136k
    134240173U, // MOVN_I_I
1165
136k
    134240173U, // MOVN_I_I64
1166
136k
    134240173U, // MOVN_I_MM
1167
136k
    134240848U, // MOVN_I_S
1168
136k
    134240848U, // MOVN_I_S_MM
1169
136k
    134237558U, // MOVT_D32
1170
136k
    134237558U, // MOVT_D32_MM
1171
136k
    134237558U, // MOVT_D64
1172
136k
    134241235U, // MOVT_I
1173
136k
    134241235U, // MOVT_I64
1174
136k
    134241235U, // MOVT_I_MM
1175
136k
    134241037U, // MOVT_S
1176
136k
    134241037U, // MOVT_S_MM
1177
136k
    134237978U, // MOVZ_I64_D64
1178
136k
    134243300U, // MOVZ_I64_I
1179
136k
    134243300U, // MOVZ_I64_I64
1180
136k
    134241138U, // MOVZ_I64_S
1181
136k
    134237978U, // MOVZ_I_D32
1182
136k
    134237978U, // MOVZ_I_D32_MM
1183
136k
    134237978U, // MOVZ_I_D64
1184
136k
    134243300U, // MOVZ_I_I
1185
136k
    134243300U, // MOVZ_I_I64
1186
136k
    134243300U, // MOVZ_I_MM
1187
136k
    134241138U, // MOVZ_I_S
1188
136k
    134241138U, // MOVZ_I_S_MM
1189
136k
    18179U, // MSUB
1190
136k
    151013742U, // MSUBF_D
1191
136k
    151017912U, // MSUBF_S
1192
136k
    151015656U, // MSUBR_Q_H
1193
136k
    151019375U, // MSUBR_Q_W
1194
136k
    23525U, // MSUBU
1195
136k
    134241253U, // MSUBU_DSP
1196
136k
    23525U, // MSUBU_MM
1197
136k
    151012697U, // MSUBV_B
1198
136k
    151015042U, // MSUBV_D
1199
136k
    151016257U, // MSUBV_H
1200
136k
    151020332U, // MSUBV_W
1201
136k
    134236232U, // MSUB_D32
1202
136k
    134236232U, // MSUB_D32_MM
1203
136k
    134236232U, // MSUB_D64
1204
136k
    134235907U, // MSUB_DSP
1205
136k
    18179U, // MSUB_MM
1206
136k
    151015627U, // MSUB_Q_H
1207
136k
    151019346U, // MSUB_Q_W
1208
136k
    134240553U, // MSUB_S
1209
136k
    134240553U, // MSUB_S_MM
1210
136k
    134234129U, // MTC0
1211
136k
    1867870U, // MTC1
1212
136k
    1867870U, // MTC1_MM
1213
136k
    134234380U, // MTC2
1214
136k
    1884240U, // MTHC1_D32
1215
136k
    1884240U, // MTHC1_D64
1216
136k
    1884240U, // MTHC1_MM
1217
136k
    546287U,  // MTHI
1218
136k
    546287U,  // MTHI64
1219
136k
    1873391U, // MTHI_DSP
1220
136k
    546287U,  // MTHI_MM
1221
136k
    1873900U, // MTHLIP
1222
136k
    546758U,  // MTLO
1223
136k
    546758U,  // MTLO64
1224
136k
    1873862U, // MTLO_DSP
1225
136k
    546758U,  // MTLO_MM
1226
136k
    540701U,  // MTM0
1227
136k
    540826U,  // MTM1
1228
136k
    540958U,  // MTM2
1229
136k
    540707U,  // MTP0
1230
136k
    540832U,  // MTP1
1231
136k
    540964U,  // MTP2
1232
136k
    134239672U, // MUH
1233
136k
    134241300U, // MUHU
1234
136k
    134240104U, // MUL
1235
136k
    134240015U, // MULEQ_S_W_PHL
1236
136k
    134240477U, // MULEQ_S_W_PHR
1237
136k
    134239883U, // MULEU_S_PH_QBL
1238
136k
    134240379U, // MULEU_S_PH_QBR
1239
136k
    134239433U, // MULQ_RS_PH
1240
136k
    134242709U, // MULQ_RS_W
1241
136k
    134239377U, // MULQ_S_PH
1242
136k
    134242568U, // MULQ_S_W
1243
136k
    134238462U, // MULR_Q_H
1244
136k
    134242181U, // MULR_Q_W
1245
136k
    134239579U, // MULSAQ_S_W_PH
1246
136k
    134239554U, // MULSA_W_PH
1247
136k
    23496U, // MULT
1248
136k
    134241370U, // MULTU_DSP
1249
136k
    134241224U, // MULT_DSP
1250
136k
    23496U, // MULT_MM
1251
136k
    23642U, // MULTu
1252
136k
    23642U, // MULTu_MM
1253
136k
    134241337U, // MULU
1254
136k
    134235517U, // MULV_B
1255
136k
    134237870U, // MULV_D
1256
136k
    134239077U, // MULV_H
1257
136k
    134243160U, // MULV_W
1258
136k
    134240104U, // MUL_MM
1259
136k
    134239250U, // MUL_PH
1260
136k
    134238431U, // MUL_Q_H
1261
136k
    134242150U, // MUL_Q_W
1262
136k
    134240104U, // MUL_R6
1263
136k
    134239345U, // MUL_S_PH
1264
136k
    546281U,  // Mfhi16
1265
136k
    546745U,  // Mflo16
1266
136k
    20345U, // Move32R16
1267
136k
    20345U, // MoveR3216
1268
136k
    23496U, // MultRxRy16
1269
136k
    75799496U,  // MultRxRyRz16
1270
136k
    23642U, // MultuRxRy16
1271
136k
    75799642U,  // MultuRxRyRz16
1272
136k
    17028U, // NLOC_B
1273
136k
    18521U, // NLOC_D
1274
136k
    20436U, // NLOC_H
1275
136k
    23880U, // NLOC_W
1276
136k
    17036U, // NLZC_B
1277
136k
    18529U, // NLZC_D
1278
136k
    20444U, // NLZC_H
1279
136k
    23888U, // NLZC_W
1280
136k
    134236282U, // NMADD_D32
1281
136k
    134236282U, // NMADD_D32_MM
1282
136k
    134236282U, // NMADD_D64
1283
136k
    134240570U, // NMADD_S
1284
136k
    134240570U, // NMADD_S_MM
1285
136k
    134236240U, // NMSUB_D32
1286
136k
    134236240U, // NMSUB_D32_MM
1287
136k
    134236240U, // NMSUB_D64
1288
136k
    134240552U, // NMSUB_S
1289
136k
    134240552U, // NMSUB_S_MM
1290
136k
    0U, // NOP
1291
136k
    134240502U, // NOR
1292
136k
    134240502U, // NOR64
1293
136k
    2281718573U,  // NORI_B
1294
136k
    134240502U, // NOR_MM
1295
136k
    134241412U, // NOR_V
1296
136k
    0U, // NOR_V_D_PSEUDO
1297
136k
    0U, // NOR_V_H_PSEUDO
1298
136k
    0U, // NOR_V_W_PSEUDO
1299
136k
    16825U, // NOT16_MM
1300
136k
    20387U, // NegRxRy16
1301
136k
    23502U, // NotRxRy16
1302
136k
    134240503U, // OR
1303
136k
    836010U,  // OR16_MM
1304
136k
    134240503U, // OR64
1305
136k
    2281718574U,  // ORI_B
1306
136k
    134240503U, // OR_MM
1307
136k
    134241413U, // OR_V
1308
136k
    0U, // OR_V_D_PSEUDO
1309
136k
    0U, // OR_V_H_PSEUDO
1310
136k
    0U, // OR_V_W_PSEUDO
1311
136k
    134239771U, // ORi
1312
136k
    134239771U, // ORi64
1313
136k
    134239771U, // ORi_MM
1314
136k
    16799991U,  // OrRxRxRy16
1315
136k
    134239239U, // PACKRL_PH
1316
136k
    9442U,  // PAUSE
1317
136k
    9442U,  // PAUSE_MM
1318
136k
    134235499U, // PCKEV_B
1319
136k
    134237844U, // PCKEV_D
1320
136k
    134239059U, // PCKEV_H
1321
136k
    134243134U, // PCKEV_W
1322
136k
    134234779U, // PCKOD_B
1323
136k
    134236298U, // PCKOD_D
1324
136k
    134238187U, // PCKOD_H
1325
136k
    134241657U, // PCKOD_W
1326
136k
    17555U, // PCNT_B
1327
136k
    19778U, // PCNT_D
1328
136k
    21063U, // PCNT_H
1329
136k
    25076U, // PCNT_W
1330
136k
    134239203U, // PICK_PH
1331
136k
    134235631U, // PICK_QB
1332
136k
    22522U, // POP
1333
136k
    22186U, // PRECEQU_PH_QBL
1334
136k
    16906U, // PRECEQU_PH_QBLA
1335
136k
    22682U, // PRECEQU_PH_QBR
1336
136k
    16939U, // PRECEQU_PH_QBRA
1337
136k
    22260U, // PRECEQ_W_PHL
1338
136k
    22722U, // PRECEQ_W_PHR
1339
136k
    22171U, // PRECEU_PH_QBL
1340
136k
    16890U, // PRECEU_PH_QBLA
1341
136k
    22667U, // PRECEU_PH_QBR
1342
136k
    16923U, // PRECEU_PH_QBRA
1343
136k
    134239155U, // PRECRQU_S_QB_PH
1344
136k
    134241800U, // PRECRQ_PH_W
1345
136k
    134239128U, // PRECRQ_QB_PH
1346
136k
    134241831U, // PRECRQ_RS_PH_W
1347
136k
    134239142U, // PRECR_QB_PH
1348
136k
    134241784U, // PRECR_SRA_PH_W
1349
136k
    134241813U, // PRECR_SRA_R_PH_W
1350
136k
    85911U, // PREF
1351
136k
    85911U, // PREF_MM
1352
136k
    85911U, // PREF_R6
1353
136k
    134238019U, // PREPEND
1354
136k
    0U, // PseudoCMPU_EQ_QB
1355
136k
    0U, // PseudoCMPU_LE_QB
1356
136k
    0U, // PseudoCMPU_LT_QB
1357
136k
    0U, // PseudoCMP_EQ_PH
1358
136k
    0U, // PseudoCMP_LE_PH
1359
136k
    0U, // PseudoCMP_LT_PH
1360
136k
    16391U, // PseudoCVT_D32_W
1361
136k
    16391U, // PseudoCVT_D64_L
1362
136k
    16391U, // PseudoCVT_D64_W
1363
136k
    16391U, // PseudoCVT_S_L
1364
136k
    16391U, // PseudoCVT_S_W
1365
136k
    0U, // PseudoDMULT
1366
136k
    0U, // PseudoDMULTu
1367
136k
    0U, // PseudoDSDIV
1368
136k
    0U, // PseudoDUDIV
1369
136k
    0U, // PseudoIndirectBranch
1370
136k
    0U, // PseudoIndirectBranch64
1371
136k
    0U, // PseudoMADD
1372
136k
    0U, // PseudoMADDU
1373
136k
    0U, // PseudoMFHI
1374
136k
    0U, // PseudoMFHI64
1375
136k
    0U, // PseudoMFLO
1376
136k
    0U, // PseudoMFLO64
1377
136k
    0U, // PseudoMSUB
1378
136k
    0U, // PseudoMSUBU
1379
136k
    0U, // PseudoMTLOHI
1380
136k
    0U, // PseudoMTLOHI64
1381
136k
    0U, // PseudoMTLOHI_DSP
1382
136k
    0U, // PseudoMULT
1383
136k
    0U, // PseudoMULTu
1384
136k
    0U, // PseudoPICK_PH
1385
136k
    0U, // PseudoPICK_QB
1386
136k
    0U, // PseudoReturn
1387
136k
    0U, // PseudoReturn64
1388
136k
    0U, // PseudoSDIV
1389
136k
    0U, // PseudoSELECTFP_F_D32
1390
136k
    0U, // PseudoSELECTFP_F_D64
1391
136k
    0U, // PseudoSELECTFP_F_I
1392
136k
    0U, // PseudoSELECTFP_F_I64
1393
136k
    0U, // PseudoSELECTFP_F_S
1394
136k
    0U, // PseudoSELECTFP_T_D32
1395
136k
    0U, // PseudoSELECTFP_T_D64
1396
136k
    0U, // PseudoSELECTFP_T_I
1397
136k
    0U, // PseudoSELECTFP_T_I64
1398
136k
    0U, // PseudoSELECTFP_T_S
1399
136k
    0U, // PseudoSELECT_D32
1400
136k
    0U, // PseudoSELECT_D64
1401
136k
    0U, // PseudoSELECT_I
1402
136k
    0U, // PseudoSELECT_I64
1403
136k
    0U, // PseudoSELECT_S
1404
136k
    0U, // PseudoUDIV
1405
136k
    18155U, // RADDU_W_QB
1406
136k
    33577003U,  // RDDSP
1407
136k
    22791U, // RDHWR
1408
136k
    22791U, // RDHWR64
1409
136k
    22791U, // RDHWR_MM
1410
136k
    21766U, // REPLV_PH
1411
136k
    18135U, // REPLV_QB
1412
136k
    33575925U,  // REPL_PH
1413
136k
    33572353U,  // REPL_QB
1414
136k
    19787U, // RINT_D
1415
136k
    23293U, // RINT_S
1416
136k
    134240513U, // ROTR
1417
136k
    134241514U, // ROTRV
1418
136k
    134241514U, // ROTRV_MM
1419
136k
    134240513U, // ROTR_MM
1420
136k
    18992U, // ROUND_L_D64
1421
136k
    23020U, // ROUND_L_S
1422
136k
    20168U, // ROUND_W_D32
1423
136k
    20168U, // ROUND_W_D64
1424
136k
    20168U, // ROUND_W_MM
1425
136k
    23342U, // ROUND_W_S
1426
136k
    23342U, // ROUND_W_S_MM
1427
136k
    0U, // Restore16
1428
136k
    0U, // RestoreX16
1429
136k
    0U, // RetRA
1430
136k
    0U, // RetRA16
1431
136k
    134235208U, // SAT_S_B
1432
136k
    134237279U, // SAT_S_D
1433
136k
    2281722353U,  // SAT_S_H
1434
136k
    134242618U, // SAT_S_W
1435
136k
    134235435U, // SAT_U_B
1436
136k
    134237758U, // SAT_U_D
1437
136k
    2281722643U,  // SAT_U_H
1438
136k
    134243048U, // SAT_U_W
1439
136k
    58738423U,  // SB
1440
136k
    58736980U,  // SB16_MM
1441
136k
    58738423U,  // SB64
1442
136k
    58738423U,  // SB_MM
1443
136k
    3966874U, // SC
1444
136k
    3968802U, // SCD
1445
136k
    3968802U, // SCD_R6
1446
136k
    3966874U, // SC_MM
1447
136k
    3966874U, // SC_R6
1448
136k
    58740570U,  // SD
1449
136k
    546774U,  // SDBBP
1450
136k
    65946U, // SDBBP16_MM
1451
136k
    546774U,  // SDBBP_MM
1452
136k
    546774U,  // SDBBP_R6
1453
136k
    58736694U,  // SDC1
1454
136k
    58736694U,  // SDC164
1455
136k
    58736694U,  // SDC1_MM
1456
136k
    58736894U,  // SDC2
1457
136k
    58736894U,  // SDC2_R6
1458
136k
    58736953U,  // SDC3
1459
136k
    25611U, // SDIV
1460
136k
    25611U, // SDIV_MM
1461
136k
    58742463U,  // SDL
1462
136k
    58742959U,  // SDR
1463
136k
    1358970999U,  // SDXC1
1464
136k
    1358970999U,  // SDXC164
1465
136k
    17810U, // SEB
1466
136k
    17810U, // SEB64
1467
136k
    17810U, // SEB_MM
1468
136k
    21382U, // SEH
1469
136k
    21382U, // SEH64
1470
136k
    21382U, // SEH_MM
1471
136k
    134243273U, // SELEQZ
1472
136k
    134243273U, // SELEQZ64
1473
136k
    134237968U, // SELEQZ_D
1474
136k
    134241128U, // SELEQZ_S
1475
136k
    134243246U, // SELNEZ
1476
136k
    134243246U, // SELNEZ64
1477
136k
    134237951U, // SELNEZ_D
1478
136k
    134241118U, // SELNEZ_S
1479
136k
    151013977U, // SEL_D
1480
136k
    151018005U, // SEL_S
1481
136k
    134240345U, // SEQ
1482
136k
    134239758U, // SEQi
1483
136k
    58742195U,  // SH
1484
136k
    58736993U,  // SH16_MM
1485
136k
    58742195U,  // SH64
1486
136k
    2281718455U,  // SHF_B
1487
136k
    2281721863U,  // SHF_H
1488
136k
    2281725417U,  // SHF_W
1489
136k
    22463U, // SHILO
1490
136k
    23761U, // SHILOV
1491
136k
    134239484U, // SHLLV_PH
1492
136k
    134235853U, // SHLLV_QB
1493
136k
    134239421U, // SHLLV_S_PH
1494
136k
    134242679U, // SHLLV_S_W
1495
136k
    134239212U, // SHLL_PH
1496
136k
    134235640U, // SHLL_QB
1497
136k
    134239334U, // SHLL_S_PH
1498
136k
    134242519U, // SHLL_S_W
1499
136k
    134239474U, // SHRAV_PH
1500
136k
    134235843U, // SHRAV_QB
1501
136k
    134239322U, // SHRAV_R_PH
1502
136k
    134235741U, // SHRAV_R_QB
1503
136k
    134242274U, // SHRAV_R_W
1504
136k
    134239119U, // SHRA_PH
1505
136k
    134235563U, // SHRA_QB
1506
136k
    134239287U, // SHRA_R_PH
1507
136k
    134235706U, // SHRA_R_QB
1508
136k
    134242232U, // SHRA_R_W
1509
136k
    134239504U, // SHRLV_PH
1510
136k
    134235873U, // SHRLV_QB
1511
136k
    134239230U, // SHRL_PH
1512
136k
    134235658U, // SHRL_QB
1513
136k
    58742195U,  // SH_MM
1514
136k
    2969584334U,  // SLDI_B
1515
136k
    2969586088U,  // SLDI_D
1516
136k
    2969587742U,  // SLDI_H
1517
136k
    2969591377U,  // SLDI_W
1518
136k
    822100628U, // SLD_B
1519
136k
    822102147U, // SLD_D
1520
136k
    822104036U, // SLD_H
1521
136k
    822107506U, // SLD_W
1522
136k
    134240058U, // SLL
1523
136k
    134234494U, // SLL16_MM
1524
136k
    1610635066U,  // SLL64_32
1525
136k
    1610635066U,  // SLL64_64
1526
136k
    2281718512U,  // SLLI_B
1527
136k
    2281720249U,  // SLLI_D
1528
136k
    2281721903U,  // SLLI_H
1529
136k
    2281725538U,  // SLLI_W
1530
136k
    134241476U, // SLLV
1531
136k
    134241476U, // SLLV_MM
1532
136k
    134235013U, // SLL_B
1533
136k
    134236785U, // SLL_D
1534
136k
    134238371U, // SLL_H
1535
136k
    134240058U, // SLL_MM
1536
136k
    134242032U, // SLL_W
1537
136k
    134241213U, // SLT
1538
136k
    134241213U, // SLT64
1539
136k
    134241213U, // SLT_MM
1540
136k
    134239782U, // SLTi
1541
136k
    134239782U, // SLTi64
1542
136k
    134239782U, // SLTi_MM
1543
136k
    134241321U, // SLTiu
1544
136k
    134241321U, // SLTiu64
1545
136k
    134241321U, // SLTiu_MM
1546
136k
    134241357U, // SLTu
1547
136k
    134241357U, // SLTu64
1548
136k
    134241357U, // SLTu_MM
1549
136k
    134238063U, // SNE
1550
136k
    134239703U, // SNEi
1551
136k
    0U, // SNZ_B_PSEUDO
1552
136k
    0U, // SNZ_D_PSEUDO
1553
136k
    0U, // SNZ_H_PSEUDO
1554
136k
    0U, // SNZ_V_PSEUDO
1555
136k
    0U, // SNZ_W_PSEUDO
1556
136k
    2952807239U,  // SPLATI_B
1557
136k
    2952808960U,  // SPLATI_D
1558
136k
    2952810614U,  // SPLATI_H
1559
136k
    2952814249U,  // SPLATI_W
1560
136k
    805323906U, // SPLAT_B
1561
136k
    805326016U, // SPLAT_D
1562
136k
    805327414U, // SPLAT_H
1563
136k
    805331393U, // SPLAT_W
1564
136k
    134234685U, // SRA
1565
136k
    2281718470U,  // SRAI_B
1566
136k
    2281720224U,  // SRAI_D
1567
136k
    2281721878U,  // SRAI_H
1568
136k
    2281725513U,  // SRAI_W
1569
136k
    134234898U, // SRARI_B
1570
136k
    134236635U, // SRARI_D
1571
136k
    2281721937U,  // SRARI_H
1572
136k
    134241924U, // SRARI_W
1573
136k
    134235051U, // SRAR_B
1574
136k
    134237015U, // SRAR_D
1575
136k
    134238486U, // SRAR_H
1576
136k
    134242296U, // SRAR_W
1577
136k
    134241455U, // SRAV
1578
136k
    134241455U, // SRAV_MM
1579
136k
    134234749U, // SRA_B
1580
136k
    134236208U, // SRA_D
1581
136k
    134238157U, // SRA_H
1582
136k
    134234685U, // SRA_MM
1583
136k
    134241584U, // SRA_W
1584
136k
    134240070U, // SRL
1585
136k
    134234501U, // SRL16_MM
1586
136k
    2281718520U,  // SRLI_B
1587
136k
    2281720257U,  // SRLI_D
1588
136k
    2281721911U,  // SRLI_H
1589
136k
    2281725546U,  // SRLI_W
1590
136k
    134234916U, // SRLRI_B
1591
136k
    134236653U, // SRLRI_D
1592
136k
    2281721955U,  // SRLRI_H
1593
136k
    134241942U, // SRLRI_W
1594
136k
    134235067U, // SRLR_B
1595
136k
    134237031U, // SRLR_D
1596
136k
    134238502U, // SRLR_H
1597
136k
    134242312U, // SRLR_W
1598
136k
    134241483U, // SRLV
1599
136k
    134241483U, // SRLV_MM
1600
136k
    134235020U, // SRL_B
1601
136k
    134236810U, // SRL_D
1602
136k
    134238378U, // SRL_H
1603
136k
    134240070U, // SRL_MM
1604
136k
    134242057U, // SRL_W
1605
136k
    9463U,  // SSNOP
1606
136k
    9463U,  // SSNOP_MM
1607
136k
    58736647U,  // STORE_ACC128
1608
136k
    58736647U,  // STORE_ACC64
1609
136k
    58736647U,  // STORE_ACC64DSP
1610
136k
    58742810U,  // STORE_CCOND_DSP
1611
136k
    58737829U,  // ST_B
1612
136k
    58740080U,  // ST_D
1613
136k
    58741337U,  // ST_H
1614
136k
    58745378U,  // ST_W
1615
136k
    134235902U, // SUB
1616
136k
    134239183U, // SUBQH_PH
1617
136k
    134239298U, // SUBQH_R_PH
1618
136k
    134242242U, // SUBQH_R_W
1619
136k
    134241847U, // SUBQH_W
1620
136k
    134239258U, // SUBQ_PH
1621
136k
    134239355U, // SUBQ_S_PH
1622
136k
    134242548U, // SUBQ_S_W
1623
136k
    134235423U, // SUBSUS_U_B
1624
136k
    134237746U, // SUBSUS_U_D
1625
136k
    134238983U, // SUBSUS_U_H
1626
136k
    134243036U, // SUBSUS_U_W
1627
136k
    134235226U, // SUBSUU_S_B
1628
136k
    134237319U, // SUBSUU_S_D
1629
136k
    134238723U, // SUBSUU_S_H
1630
136k
    134242658U, // SUBSUU_S_W
1631
136k
    134235188U, // SUBS_S_B
1632
136k
    134237259U, // SUBS_S_D
1633
136k
    134238685U, // SUBS_S_H
1634
136k
    134242598U, // SUBS_S_W
1635
136k
    134235403U, // SUBS_U_B
1636
136k
    134237726U, // SUBS_U_D
1637
136k
    134238963U, // SUBS_U_H
1638
136k
    134243016U, // SUBS_U_W
1639
136k
    134234567U, // SUBU16_MM
1640
136k
    134235611U, // SUBUH_QB
1641
136k
    134235717U, // SUBUH_R_QB
1642
136k
    134239456U, // SUBU_PH
1643
136k
    134235825U, // SUBU_QB
1644
136k
    134239399U, // SUBU_S_PH
1645
136k
    134235764U, // SUBU_S_QB
1646
136k
    2281718618U,  // SUBVI_B
1647
136k
    2281720339U,  // SUBVI_D
1648
136k
    2281721993U,  // SUBVI_H
1649
136k
    2281725628U,  // SUBVI_W
1650
136k
    134235482U, // SUBV_B
1651
136k
    134237827U, // SUBV_D
1652
136k
    134239042U, // SUBV_H
1653
136k
    134243117U, // SUBV_W
1654
136k
    134235902U, // SUB_MM
1655
136k
    134241247U, // SUBu
1656
136k
    134241247U, // SUBu_MM
1657
136k
    1358971013U,  // SUXC1
1658
136k
    1358971013U,  // SUXC164
1659
136k
    1358971013U,  // SUXC1_MM
1660
136k
    58745730U,  // SW
1661
136k
    58737124U,  // SW16_MM
1662
136k
    58745730U,  // SW64
1663
136k
    58736746U,  // SWC1
1664
136k
    58736746U,  // SWC1_MM
1665
136k
    58736920U,  // SWC2
1666
136k
    58736920U,  // SWC2_R6
1667
136k
    58736965U,  // SWC3
1668
136k
    58742642U,  // SWL
1669
136k
    58742642U,  // SWL64
1670
136k
    58742642U,  // SWL_MM
1671
136k
    3522963U, // SWM16_MM
1672
136k
    3522792U, // SWM32_MM
1673
136k
    3528600U, // SWM_MM
1674
136k
    137295U,  // SWP_MM
1675
136k
    58743059U,  // SWR
1676
136k
    58743059U,  // SWR64
1677
136k
    58743059U,  // SWR_MM
1678
136k
    58745730U,  // SWSP_MM
1679
136k
    1358971027U,  // SWXC1
1680
136k
    1358971027U,  // SWXC1_MM
1681
136k
    58745730U,  // SW_MM
1682
136k
    549939U,  // SYNC
1683
136k
    153021U,  // SYNCI
1684
136k
    549939U,  // SYNC_MM
1685
136k
    546590U,  // SYSCALL
1686
136k
    546590U,  // SYSCALL_MM
1687
136k
    0U, // SZ_B_PSEUDO
1688
136k
    0U, // SZ_D_PSEUDO
1689
136k
    0U, // SZ_H_PSEUDO
1690
136k
    0U, // SZ_V_PSEUDO
1691
136k
    0U, // SZ_W_PSEUDO
1692
136k
    0U, // Save16
1693
136k
    0U, // SaveX16
1694
136k
    58738423U,  // SbRxRyOffMemX16
1695
136k
    549866U,  // SebRx16
1696
136k
    549878U,  // SehRx16
1697
136k
    4367299U, // SelBeqZ
1698
136k
    4367272U, // SelBneZ
1699
136k
    1828886516U,  // SelTBteqZCmp
1700
136k
    1828886024U,  // SelTBteqZCmpi
1701
136k
    1828887485U,  // SelTBteqZSlt
1702
136k
    1828886054U,  // SelTBteqZSlti
1703
136k
    1828887593U,  // SelTBteqZSltiu
1704
136k
    1828887629U,  // SelTBteqZSltu
1705
136k
    1963104244U,  // SelTBtneZCmp
1706
136k
    1963103752U,  // SelTBtneZCmpi
1707
136k
    1963105213U,  // SelTBtneZSlt
1708
136k
    1963103782U,  // SelTBtneZSlti
1709
136k
    1963105321U,  // SelTBtneZSltiu
1710
136k
    1963105357U,  // SelTBtneZSltu
1711
136k
    58742195U,  // ShRxRyOffMemX16
1712
136k
    134240058U, // SllX16
1713
136k
    16800964U,  // SllvRxRy16
1714
136k
    92576701U,  // SltCCRxRy16
1715
136k
    23485U, // SltRxRy16
1716
136k
    92575270U,  // SltiCCRxImmX16
1717
136k
    939546150U, // SltiRxImm16
1718
136k
    22054U, // SltiRxImmX16
1719
136k
    92576809U,  // SltiuCCRxImmX16
1720
136k
    939547689U, // SltiuRxImm16
1721
136k
    23593U, // SltiuRxImmX16
1722
136k
    92576845U,  // SltuCCRxRy16
1723
136k
    23629U, // SltuRxRy16
1724
136k
    92576845U,  // SltuRxRyRz16
1725
136k
    134234685U, // SraX16
1726
136k
    16800943U,  // SravRxRy16
1727
136k
    134240070U, // SrlX16
1728
136k
    16800971U,  // SrlvRxRy16
1729
136k
    134241247U, // SubuRxRyRz16
1730
136k
    58745730U,  // SwRxRyOffMemX16
1731
136k
    1493197698U,  // SwRxSpImmX16
1732
136k
    0U, // TAILCALL
1733
136k
    0U, // TAILCALL64_R
1734
136k
    0U, // TAILCALL_R
1735
136k
    134240350U, // TEQ
1736
136k
    33576468U,  // TEQI
1737
136k
    33576468U,  // TEQI_MM
1738
136k
    134240350U, // TEQ_MM
1739
136k
    134238046U, // TGE
1740
136k
    33576401U,  // TGEI
1741
136k
    33578018U,  // TGEIU
1742
136k
    33578018U,  // TGEIU_MM
1743
136k
    33576401U,  // TGEI_MM
1744
136k
    134241288U, // TGEU
1745
136k
    134241288U, // TGEU_MM
1746
136k
    134238046U, // TGE_MM
1747
136k
    9458U,  // TLBP
1748
136k
    9458U,  // TLBP_MM
1749
136k
    9469U,  // TLBR
1750
136k
    9469U,  // TLBR_MM
1751
136k
    9448U,  // TLBWI
1752
136k
    9448U,  // TLBWI_MM
1753
136k
    9474U,  // TLBWR
1754
136k
    9474U,  // TLBWR_MM
1755
136k
    134241218U, // TLT
1756
136k
    33576492U,  // TLTI
1757
136k
    33578032U,  // TLTIU_MM
1758
136k
    33576492U,  // TLTI_MM
1759
136k
    134241363U, // TLTU
1760
136k
    134241363U, // TLTU_MM
1761
136k
    134241218U, // TLT_MM
1762
136k
    134238068U, // TNE
1763
136k
    33576413U,  // TNEI
1764
136k
    33576413U,  // TNEI_MM
1765
136k
    134238068U, // TNE_MM
1766
136k
    0U, // TRAP
1767
136k
    18981U, // TRUNC_L_D64
1768
136k
    23009U, // TRUNC_L_S
1769
136k
    20157U, // TRUNC_W_D32
1770
136k
    20157U, // TRUNC_W_D64
1771
136k
    20157U, // TRUNC_W_MM
1772
136k
    23331U, // TRUNC_W_S
1773
136k
    23331U, // TRUNC_W_S_MM
1774
136k
    33578032U,  // TTLTIU
1775
136k
    25597U, // UDIV
1776
136k
    25597U, // UDIV_MM
1777
136k
    134241335U, // V3MULU
1778
136k
    134234135U, // VMM0
1779
136k
    134241350U, // VMULU
1780
136k
    151012022U, // VSHF_B
1781
136k
    151013760U, // VSHF_D
1782
136k
    151015430U, // VSHF_H
1783
136k
    151018984U, // VSHF_W
1784
136k
    9486U,  // WAIT
1785
136k
    547767U,  // WAIT_MM
1786
136k
    33577010U,  // WRDSP
1787
136k
    21376U, // WSBH
1788
136k
    21376U, // WSBH_MM
1789
136k
    134240507U, // XOR
1790
136k
    836009U,  // XOR16_MM
1791
136k
    134240507U, // XOR64
1792
136k
    2281718581U,  // XORI_B
1793
136k
    134240507U, // XOR_MM
1794
136k
    134241419U, // XOR_V
1795
136k
    0U, // XOR_V_D_PSEUDO
1796
136k
    0U, // XOR_V_H_PSEUDO
1797
136k
    0U, // XOR_V_W_PSEUDO
1798
136k
    134239770U, // XORi
1799
136k
    134239770U, // XORi64
1800
136k
    134239770U, // XORi_MM
1801
136k
    16799995U,  // XorRxRxRy16
1802
136k
    0U
1803
136k
  };
1804
1805
136k
  static const uint8_t OpInfo2[] = {
1806
136k
    0U, // PHI
1807
136k
    0U, // INLINEASM
1808
136k
    0U, // CFI_INSTRUCTION
1809
136k
    0U, // EH_LABEL
1810
136k
    0U, // GC_LABEL
1811
136k
    0U, // KILL
1812
136k
    0U, // EXTRACT_SUBREG
1813
136k
    0U, // INSERT_SUBREG
1814
136k
    0U, // IMPLICIT_DEF
1815
136k
    0U, // SUBREG_TO_REG
1816
136k
    0U, // COPY_TO_REGCLASS
1817
136k
    0U, // DBG_VALUE
1818
136k
    0U, // REG_SEQUENCE
1819
136k
    0U, // COPY
1820
136k
    0U, // BUNDLE
1821
136k
    0U, // LIFETIME_START
1822
136k
    0U, // LIFETIME_END
1823
136k
    0U, // STACKMAP
1824
136k
    0U, // PATCHPOINT
1825
136k
    0U, // LOAD_STACK_GUARD
1826
136k
    0U, // STATEPOINT
1827
136k
    0U, // FRAME_ALLOC
1828
136k
    0U, // ABSQ_S_PH
1829
136k
    0U, // ABSQ_S_QB
1830
136k
    0U, // ABSQ_S_W
1831
136k
    0U, // ADD
1832
136k
    0U, // ADDIUPC
1833
136k
    0U, // ADDIUPC_MM
1834
136k
    0U, // ADDIUR1SP_MM
1835
136k
    0U, // ADDIUR2_MM
1836
136k
    0U, // ADDIUS5_MM
1837
136k
    0U, // ADDIUSP_MM
1838
136k
    0U, // ADDQH_PH
1839
136k
    0U, // ADDQH_R_PH
1840
136k
    0U, // ADDQH_R_W
1841
136k
    0U, // ADDQH_W
1842
136k
    0U, // ADDQ_PH
1843
136k
    0U, // ADDQ_S_PH
1844
136k
    0U, // ADDQ_S_W
1845
136k
    0U, // ADDSC
1846
136k
    0U, // ADDS_A_B
1847
136k
    0U, // ADDS_A_D
1848
136k
    0U, // ADDS_A_H
1849
136k
    0U, // ADDS_A_W
1850
136k
    0U, // ADDS_S_B
1851
136k
    0U, // ADDS_S_D
1852
136k
    0U, // ADDS_S_H
1853
136k
    0U, // ADDS_S_W
1854
136k
    0U, // ADDS_U_B
1855
136k
    0U, // ADDS_U_D
1856
136k
    0U, // ADDS_U_H
1857
136k
    0U, // ADDS_U_W
1858
136k
    0U, // ADDU16_MM
1859
136k
    0U, // ADDUH_QB
1860
136k
    0U, // ADDUH_R_QB
1861
136k
    0U, // ADDU_PH
1862
136k
    0U, // ADDU_QB
1863
136k
    0U, // ADDU_S_PH
1864
136k
    0U, // ADDU_S_QB
1865
136k
    0U, // ADDVI_B
1866
136k
    0U, // ADDVI_D
1867
136k
    0U, // ADDVI_H
1868
136k
    0U, // ADDVI_W
1869
136k
    0U, // ADDV_B
1870
136k
    0U, // ADDV_D
1871
136k
    0U, // ADDV_H
1872
136k
    0U, // ADDV_W
1873
136k
    0U, // ADDWC
1874
136k
    0U, // ADD_A_B
1875
136k
    0U, // ADD_A_D
1876
136k
    0U, // ADD_A_H
1877
136k
    0U, // ADD_A_W
1878
136k
    0U, // ADD_MM
1879
136k
    0U, // ADDi
1880
136k
    0U, // ADDi_MM
1881
136k
    0U, // ADDiu
1882
136k
    0U, // ADDiu_MM
1883
136k
    0U, // ADDu
1884
136k
    0U, // ADDu_MM
1885
136k
    0U, // ADJCALLSTACKDOWN
1886
136k
    0U, // ADJCALLSTACKUP
1887
136k
    4U, // ALIGN
1888
136k
    0U, // ALUIPC
1889
136k
    0U, // AND
1890
136k
    0U, // AND16_MM
1891
136k
    0U, // AND64
1892
136k
    0U, // ANDI16_MM
1893
136k
    0U, // ANDI_B
1894
136k
    0U, // AND_MM
1895
136k
    0U, // AND_V
1896
136k
    0U, // AND_V_D_PSEUDO
1897
136k
    0U, // AND_V_H_PSEUDO
1898
136k
    0U, // AND_V_W_PSEUDO
1899
136k
    1U, // ANDi
1900
136k
    1U, // ANDi64
1901
136k
    1U, // ANDi_MM
1902
136k
    1U, // APPEND
1903
136k
    0U, // ASUB_S_B
1904
136k
    0U, // ASUB_S_D
1905
136k
    0U, // ASUB_S_H
1906
136k
    0U, // ASUB_S_W
1907
136k
    0U, // ASUB_U_B
1908
136k
    0U, // ASUB_U_D
1909
136k
    0U, // ASUB_U_H
1910
136k
    0U, // ASUB_U_W
1911
136k
    0U, // ATOMIC_CMP_SWAP_I16
1912
136k
    0U, // ATOMIC_CMP_SWAP_I32
1913
136k
    0U, // ATOMIC_CMP_SWAP_I64
1914
136k
    0U, // ATOMIC_CMP_SWAP_I8
1915
136k
    0U, // ATOMIC_LOAD_ADD_I16
1916
136k
    0U, // ATOMIC_LOAD_ADD_I32
1917
136k
    0U, // ATOMIC_LOAD_ADD_I64
1918
136k
    0U, // ATOMIC_LOAD_ADD_I8
1919
136k
    0U, // ATOMIC_LOAD_AND_I16
1920
136k
    0U, // ATOMIC_LOAD_AND_I32
1921
136k
    0U, // ATOMIC_LOAD_AND_I64
1922
136k
    0U, // ATOMIC_LOAD_AND_I8
1923
136k
    0U, // ATOMIC_LOAD_NAND_I16
1924
136k
    0U, // ATOMIC_LOAD_NAND_I32
1925
136k
    0U, // ATOMIC_LOAD_NAND_I64
1926
136k
    0U, // ATOMIC_LOAD_NAND_I8
1927
136k
    0U, // ATOMIC_LOAD_OR_I16
1928
136k
    0U, // ATOMIC_LOAD_OR_I32
1929
136k
    0U, // ATOMIC_LOAD_OR_I64
1930
136k
    0U, // ATOMIC_LOAD_OR_I8
1931
136k
    0U, // ATOMIC_LOAD_SUB_I16
1932
136k
    0U, // ATOMIC_LOAD_SUB_I32
1933
136k
    0U, // ATOMIC_LOAD_SUB_I64
1934
136k
    0U, // ATOMIC_LOAD_SUB_I8
1935
136k
    0U, // ATOMIC_LOAD_XOR_I16
1936
136k
    0U, // ATOMIC_LOAD_XOR_I32
1937
136k
    0U, // ATOMIC_LOAD_XOR_I64
1938
136k
    0U, // ATOMIC_LOAD_XOR_I8
1939
136k
    0U, // ATOMIC_SWAP_I16
1940
136k
    0U, // ATOMIC_SWAP_I32
1941
136k
    0U, // ATOMIC_SWAP_I64
1942
136k
    0U, // ATOMIC_SWAP_I8
1943
136k
    0U, // AUI
1944
136k
    0U, // AUIPC
1945
136k
    0U, // AVER_S_B
1946
136k
    0U, // AVER_S_D
1947
136k
    0U, // AVER_S_H
1948
136k
    0U, // AVER_S_W
1949
136k
    0U, // AVER_U_B
1950
136k
    0U, // AVER_U_D
1951
136k
    0U, // AVER_U_H
1952
136k
    0U, // AVER_U_W
1953
136k
    0U, // AVE_S_B
1954
136k
    0U, // AVE_S_D
1955
136k
    0U, // AVE_S_H
1956
136k
    0U, // AVE_S_W
1957
136k
    0U, // AVE_U_B
1958
136k
    0U, // AVE_U_D
1959
136k
    0U, // AVE_U_H
1960
136k
    0U, // AVE_U_W
1961
136k
    0U, // AddiuRxImmX16
1962
136k
    0U, // AddiuRxPcImmX16
1963
136k
    0U, // AddiuRxRxImm16
1964
136k
    0U, // AddiuRxRxImmX16
1965
136k
    0U, // AddiuRxRyOffMemX16
1966
136k
    0U, // AddiuSpImm16
1967
136k
    0U, // AddiuSpImmX16
1968
136k
    0U, // AdduRxRyRz16
1969
136k
    0U, // AndRxRxRy16
1970
136k
    0U, // B
1971
136k
    0U, // B16_MM
1972
136k
    0U, // BADDu
1973
136k
    0U, // BAL
1974
136k
    0U, // BALC
1975
136k
    1U, // BALIGN
1976
136k
    0U, // BAL_BR
1977
136k
    0U, // BBIT0
1978
136k
    0U, // BBIT032
1979
136k
    0U, // BBIT1
1980
136k
    0U, // BBIT132
1981
136k
    0U, // BC
1982
136k
    0U, // BC0F
1983
136k
    0U, // BC0FL
1984
136k
    0U, // BC0T
1985
136k
    0U, // BC0TL
1986
136k
    0U, // BC1EQZ
1987
136k
    0U, // BC1F
1988
136k
    0U, // BC1FL
1989
136k
    0U, // BC1F_MM
1990
136k
    0U, // BC1NEZ
1991
136k
    0U, // BC1T
1992
136k
    0U, // BC1TL
1993
136k
    0U, // BC1T_MM
1994
136k
    0U, // BC2EQZ
1995
136k
    0U, // BC2F
1996
136k
    0U, // BC2FL
1997
136k
    0U, // BC2NEZ
1998
136k
    0U, // BC2T
1999
136k
    0U, // BC2TL
2000
136k
    0U, // BC3F
2001
136k
    0U, // BC3FL
2002
136k
    0U, // BC3T
2003
136k
    0U, // BC3TL
2004
136k
    0U, // BCLRI_B
2005
136k
    0U, // BCLRI_D
2006
136k
    0U, // BCLRI_H
2007
136k
    0U, // BCLRI_W
2008
136k
    0U, // BCLR_B
2009
136k
    0U, // BCLR_D
2010
136k
    0U, // BCLR_H
2011
136k
    0U, // BCLR_W
2012
136k
    0U, // BEQ
2013
136k
    0U, // BEQ64
2014
136k
    0U, // BEQC
2015
136k
    0U, // BEQL
2016
136k
    0U, // BEQZ16_MM
2017
136k
    0U, // BEQZALC
2018
136k
    0U, // BEQZC
2019
136k
    0U, // BEQZC_MM
2020
136k
    0U, // BEQ_MM
2021
136k
    0U, // BGEC
2022
136k
    0U, // BGEUC
2023
136k
    0U, // BGEZ
2024
136k
    0U, // BGEZ64
2025
136k
    0U, // BGEZAL
2026
136k
    0U, // BGEZALC
2027
136k
    0U, // BGEZALL
2028
136k
    0U, // BGEZALS_MM
2029
136k
    0U, // BGEZAL_MM
2030
136k
    0U, // BGEZC
2031
136k
    0U, // BGEZL
2032
136k
    0U, // BGEZ_MM
2033
136k
    0U, // BGTZ
2034
136k
    0U, // BGTZ64
2035
136k
    0U, // BGTZALC
2036
136k
    0U, // BGTZC
2037
136k
    0U, // BGTZL
2038
136k
    0U, // BGTZ_MM
2039
136k
    1U, // BINSLI_B
2040
136k
    1U, // BINSLI_D
2041
136k
    1U, // BINSLI_H
2042
136k
    1U, // BINSLI_W
2043
136k
    2U, // BINSL_B
2044
136k
    2U, // BINSL_D
2045
136k
    2U, // BINSL_H
2046
136k
    2U, // BINSL_W
2047
136k
    1U, // BINSRI_B
2048
136k
    1U, // BINSRI_D
2049
136k
    1U, // BINSRI_H
2050
136k
    1U, // BINSRI_W
2051
136k
    2U, // BINSR_B
2052
136k
    2U, // BINSR_D
2053
136k
    2U, // BINSR_H
2054
136k
    2U, // BINSR_W
2055
136k
    0U, // BITREV
2056
136k
    0U, // BITSWAP
2057
136k
    0U, // BLEZ
2058
136k
    0U, // BLEZ64
2059
136k
    0U, // BLEZALC
2060
136k
    0U, // BLEZC
2061
136k
    0U, // BLEZL
2062
136k
    0U, // BLEZ_MM
2063
136k
    0U, // BLTC
2064
136k
    0U, // BLTUC
2065
136k
    0U, // BLTZ
2066
136k
    0U, // BLTZ64
2067
136k
    0U, // BLTZAL
2068
136k
    0U, // BLTZALC
2069
136k
    0U, // BLTZALL
2070
136k
    0U, // BLTZALS_MM
2071
136k
    0U, // BLTZAL_MM
2072
136k
    0U, // BLTZC
2073
136k
    0U, // BLTZL
2074
136k
    0U, // BLTZ_MM
2075
136k
    1U, // BMNZI_B
2076
136k
    2U, // BMNZ_V
2077
136k
    1U, // BMZI_B
2078
136k
    2U, // BMZ_V
2079
136k
    0U, // BNE
2080
136k
    0U, // BNE64
2081
136k
    0U, // BNEC
2082
136k
    0U, // BNEGI_B
2083
136k
    0U, // BNEGI_D
2084
136k
    0U, // BNEGI_H
2085
136k
    0U, // BNEGI_W
2086
136k
    0U, // BNEG_B
2087
136k
    0U, // BNEG_D
2088
136k
    0U, // BNEG_H
2089
136k
    0U, // BNEG_W
2090
136k
    0U, // BNEL
2091
136k
    0U, // BNEZ16_MM
2092
136k
    0U, // BNEZALC
2093
136k
    0U, // BNEZC
2094
136k
    0U, // BNEZC_MM
2095
136k
    0U, // BNE_MM
2096
136k
    0U, // BNVC
2097
136k
    0U, // BNZ_B
2098
136k
    0U, // BNZ_D
2099
136k
    0U, // BNZ_H
2100
136k
    0U, // BNZ_V
2101
136k
    0U, // BNZ_W
2102
136k
    0U, // BOVC
2103
136k
    0U, // BPOSGE32
2104
136k
    0U, // BPOSGE32_PSEUDO
2105
136k
    0U, // BREAK
2106
136k
    0U, // BREAK16_MM
2107
136k
    0U, // BREAK_MM
2108
136k
    1U, // BSELI_B
2109
136k
    0U, // BSEL_D_PSEUDO
2110
136k
    0U, // BSEL_FD_PSEUDO
2111
136k
    0U, // BSEL_FW_PSEUDO
2112
136k
    0U, // BSEL_H_PSEUDO
2113
136k
    2U, // BSEL_V
2114
136k
    0U, // BSEL_W_PSEUDO
2115
136k
    0U, // BSETI_B
2116
136k
    0U, // BSETI_D
2117
136k
    0U, // BSETI_H
2118
136k
    0U, // BSETI_W
2119
136k
    0U, // BSET_B
2120
136k
    0U, // BSET_D
2121
136k
    0U, // BSET_H
2122
136k
    0U, // BSET_W
2123
136k
    0U, // BZ_B
2124
136k
    0U, // BZ_D
2125
136k
    0U, // BZ_H
2126
136k
    0U, // BZ_V
2127
136k
    0U, // BZ_W
2128
136k
    0U, // B_MM_Pseudo
2129
136k
    0U, // BeqzRxImm16
2130
136k
    0U, // BeqzRxImmX16
2131
136k
    0U, // Bimm16
2132
136k
    0U, // BimmX16
2133
136k
    0U, // BnezRxImm16
2134
136k
    0U, // BnezRxImmX16
2135
136k
    0U, // Break16
2136
136k
    0U, // Bteqz16
2137
136k
    0U, // BteqzT8CmpX16
2138
136k
    0U, // BteqzT8CmpiX16
2139
136k
    0U, // BteqzT8SltX16
2140
136k
    0U, // BteqzT8SltiX16
2141
136k
    0U, // BteqzT8SltiuX16
2142
136k
    0U, // BteqzT8SltuX16
2143
136k
    0U, // BteqzX16
2144
136k
    0U, // Btnez16
2145
136k
    0U, // BtnezT8CmpX16
2146
136k
    0U, // BtnezT8CmpiX16
2147
136k
    0U, // BtnezT8SltX16
2148
136k
    0U, // BtnezT8SltiX16
2149
136k
    0U, // BtnezT8SltiuX16
2150
136k
    0U, // BtnezT8SltuX16
2151
136k
    0U, // BtnezX16
2152
136k
    0U, // BuildPairF64
2153
136k
    0U, // BuildPairF64_64
2154
136k
    0U, // CACHE
2155
136k
    0U, // CACHE_MM
2156
136k
    0U, // CACHE_R6
2157
136k
    0U, // CEIL_L_D64
2158
136k
    0U, // CEIL_L_S
2159
136k
    0U, // CEIL_W_D32
2160
136k
    0U, // CEIL_W_D64
2161
136k
    0U, // CEIL_W_MM
2162
136k
    0U, // CEIL_W_S
2163
136k
    0U, // CEIL_W_S_MM
2164
136k
    0U, // CEQI_B
2165
136k
    0U, // CEQI_D
2166
136k
    0U, // CEQI_H
2167
136k
    0U, // CEQI_W
2168
136k
    0U, // CEQ_B
2169
136k
    0U, // CEQ_D
2170
136k
    0U, // CEQ_H
2171
136k
    0U, // CEQ_W
2172
136k
    0U, // CFC1
2173
136k
    0U, // CFC1_MM
2174
136k
    0U, // CFCMSA
2175
136k
    5U, // CINS
2176
136k
    5U, // CINS32
2177
136k
    0U, // CLASS_D
2178
136k
    0U, // CLASS_S
2179
136k
    0U, // CLEI_S_B
2180
136k
    0U, // CLEI_S_D
2181
136k
    0U, // CLEI_S_H
2182
136k
    0U, // CLEI_S_W
2183
136k
    0U, // CLEI_U_B
2184
136k
    0U, // CLEI_U_D
2185
136k
    0U, // CLEI_U_H
2186
136k
    0U, // CLEI_U_W
2187
136k
    0U, // CLE_S_B
2188
136k
    0U, // CLE_S_D
2189
136k
    0U, // CLE_S_H
2190
136k
    0U, // CLE_S_W
2191
136k
    0U, // CLE_U_B
2192
136k
    0U, // CLE_U_D
2193
136k
    0U, // CLE_U_H
2194
136k
    0U, // CLE_U_W
2195
136k
    0U, // CLO
2196
136k
    0U, // CLO_MM
2197
136k
    0U, // CLO_R6
2198
136k
    0U, // CLTI_S_B
2199
136k
    0U, // CLTI_S_D
2200
136k
    0U, // CLTI_S_H
2201
136k
    0U, // CLTI_S_W
2202
136k
    0U, // CLTI_U_B
2203
136k
    0U, // CLTI_U_D
2204
136k
    0U, // CLTI_U_H
2205
136k
    0U, // CLTI_U_W
2206
136k
    0U, // CLT_S_B
2207
136k
    0U, // CLT_S_D
2208
136k
    0U, // CLT_S_H
2209
136k
    0U, // CLT_S_W
2210
136k
    0U, // CLT_U_B
2211
136k
    0U, // CLT_U_D
2212
136k
    0U, // CLT_U_H
2213
136k
    0U, // CLT_U_W
2214
136k
    0U, // CLZ
2215
136k
    0U, // CLZ_MM
2216
136k
    0U, // CLZ_R6
2217
136k
    0U, // CMPGDU_EQ_QB
2218
136k
    0U, // CMPGDU_LE_QB
2219
136k
    0U, // CMPGDU_LT_QB
2220
136k
    0U, // CMPGU_EQ_QB
2221
136k
    0U, // CMPGU_LE_QB
2222
136k
    0U, // CMPGU_LT_QB
2223
136k
    0U, // CMPU_EQ_QB
2224
136k
    0U, // CMPU_LE_QB
2225
136k
    0U, // CMPU_LT_QB
2226
136k
    0U, // CMP_EQ_D
2227
136k
    0U, // CMP_EQ_PH
2228
136k
    0U, // CMP_EQ_S
2229
136k
    0U, // CMP_F_D
2230
136k
    0U, // CMP_F_S
2231
136k
    0U, // CMP_LE_D
2232
136k
    0U, // CMP_LE_PH
2233
136k
    0U, // CMP_LE_S
2234
136k
    0U, // CMP_LT_D
2235
136k
    0U, // CMP_LT_PH
2236
136k
    0U, // CMP_LT_S
2237
136k
    0U, // CMP_SAF_D
2238
136k
    0U, // CMP_SAF_S
2239
136k
    0U, // CMP_SEQ_D
2240
136k
    0U, // CMP_SEQ_S
2241
136k
    0U, // CMP_SLE_D
2242
136k
    0U, // CMP_SLE_S
2243
136k
    0U, // CMP_SLT_D
2244
136k
    0U, // CMP_SLT_S
2245
136k
    0U, // CMP_SUEQ_D
2246
136k
    0U, // CMP_SUEQ_S
2247
136k
    0U, // CMP_SULE_D
2248
136k
    0U, // CMP_SULE_S
2249
136k
    0U, // CMP_SULT_D
2250
136k
    0U, // CMP_SULT_S
2251
136k
    0U, // CMP_SUN_D
2252
136k
    0U, // CMP_SUN_S
2253
136k
    0U, // CMP_UEQ_D
2254
136k
    0U, // CMP_UEQ_S
2255
136k
    0U, // CMP_ULE_D
2256
136k
    0U, // CMP_ULE_S
2257
136k
    0U, // CMP_ULT_D
2258
136k
    0U, // CMP_ULT_S
2259
136k
    0U, // CMP_UN_D
2260
136k
    0U, // CMP_UN_S
2261
136k
    0U, // CONSTPOOL_ENTRY
2262
136k
    0U, // COPY_FD_PSEUDO
2263
136k
    0U, // COPY_FW_PSEUDO
2264
136k
    8U, // COPY_S_B
2265
136k
    8U, // COPY_S_D
2266
136k
    8U, // COPY_S_H
2267
136k
    8U, // COPY_S_W
2268
136k
    8U, // COPY_U_B
2269
136k
    8U, // COPY_U_D
2270
136k
    8U, // COPY_U_H
2271
136k
    8U, // COPY_U_W
2272
136k
    0U, // CTC1
2273
136k
    0U, // CTC1_MM
2274
136k
    0U, // CTCMSA
2275
136k
    0U, // CVT_D32_S
2276
136k
    0U, // CVT_D32_W
2277
136k
    0U, // CVT_D32_W_MM
2278
136k
    0U, // CVT_D64_L
2279
136k
    0U, // CVT_D64_S
2280
136k
    0U, // CVT_D64_W
2281
136k
    0U, // CVT_D_S_MM
2282
136k
    0U, // CVT_L_D64
2283
136k
    0U, // CVT_L_D64_MM
2284
136k
    0U, // CVT_L_S
2285
136k
    0U, // CVT_L_S_MM
2286
136k
    0U, // CVT_S_D32
2287
136k
    0U, // CVT_S_D32_MM
2288
136k
    0U, // CVT_S_D64
2289
136k
    0U, // CVT_S_L
2290
136k
    0U, // CVT_S_W
2291
136k
    0U, // CVT_S_W_MM
2292
136k
    0U, // CVT_W_D32
2293
136k
    0U, // CVT_W_D64
2294
136k
    0U, // CVT_W_MM
2295
136k
    0U, // CVT_W_S
2296
136k
    0U, // CVT_W_S_MM
2297
136k
    0U, // C_EQ_D32
2298
136k
    0U, // C_EQ_D64
2299
136k
    0U, // C_EQ_S
2300
136k
    0U, // C_F_D32
2301
136k
    0U, // C_F_D64
2302
136k
    0U, // C_F_S
2303
136k
    0U, // C_LE_D32
2304
136k
    0U, // C_LE_D64
2305
136k
    0U, // C_LE_S
2306
136k
    0U, // C_LT_D32
2307
136k
    0U, // C_LT_D64
2308
136k
    0U, // C_LT_S
2309
136k
    0U, // C_NGE_D32
2310
136k
    0U, // C_NGE_D64
2311
136k
    0U, // C_NGE_S
2312
136k
    0U, // C_NGLE_D32
2313
136k
    0U, // C_NGLE_D64
2314
136k
    0U, // C_NGLE_S
2315
136k
    0U, // C_NGL_D32
2316
136k
    0U, // C_NGL_D64
2317
136k
    0U, // C_NGL_S
2318
136k
    0U, // C_NGT_D32
2319
136k
    0U, // C_NGT_D64
2320
136k
    0U, // C_NGT_S
2321
136k
    0U, // C_OLE_D32
2322
136k
    0U, // C_OLE_D64
2323
136k
    0U, // C_OLE_S
2324
136k
    0U, // C_OLT_D32
2325
136k
    0U, // C_OLT_D64
2326
136k
    0U, // C_OLT_S
2327
136k
    0U, // C_SEQ_D32
2328
136k
    0U, // C_SEQ_D64
2329
136k
    0U, // C_SEQ_S
2330
136k
    0U, // C_SF_D32
2331
136k
    0U, // C_SF_D64
2332
136k
    0U, // C_SF_S
2333
136k
    0U, // C_UEQ_D32
2334
136k
    0U, // C_UEQ_D64
2335
136k
    0U, // C_UEQ_S
2336
136k
    0U, // C_ULE_D32
2337
136k
    0U, // C_ULE_D64
2338
136k
    0U, // C_ULE_S
2339
136k
    0U, // C_ULT_D32
2340
136k
    0U, // C_ULT_D64
2341
136k
    0U, // C_ULT_S
2342
136k
    0U, // C_UN_D32
2343
136k
    0U, // C_UN_D64
2344
136k
    0U, // C_UN_S
2345
136k
    0U, // CmpRxRy16
2346
136k
    0U, // CmpiRxImm16
2347
136k
    0U, // CmpiRxImmX16
2348
136k
    0U, // Constant32
2349
136k
    0U, // DADD
2350
136k
    0U, // DADDi
2351
136k
    0U, // DADDiu
2352
136k
    0U, // DADDu
2353
136k
    0U, // DAHI
2354
136k
    4U, // DALIGN
2355
136k
    0U, // DATI
2356
136k
    0U, // DAUI
2357
136k
    0U, // DBITSWAP
2358
136k
    0U, // DCLO
2359
136k
    0U, // DCLO_R6
2360
136k
    0U, // DCLZ
2361
136k
    0U, // DCLZ_R6
2362
136k
    0U, // DDIV
2363
136k
    0U, // DDIVU
2364
136k
    0U, // DERET
2365
136k
    0U, // DERET_MM
2366
136k
    21U,  // DEXT
2367
136k
    21U,  // DEXTM
2368
136k
    21U,  // DEXTU
2369
136k
    0U, // DI
2370
136k
    21U,  // DINS
2371
136k
    21U,  // DINSM
2372
136k
    21U,  // DINSU
2373
136k
    0U, // DIV
2374
136k
    0U, // DIVU
2375
136k
    0U, // DIV_S_B
2376
136k
    0U, // DIV_S_D
2377
136k
    0U, // DIV_S_H
2378
136k
    0U, // DIV_S_W
2379
136k
    0U, // DIV_U_B
2380
136k
    0U, // DIV_U_D
2381
136k
    0U, // DIV_U_H
2382
136k
    0U, // DIV_U_W
2383
136k
    0U, // DI_MM
2384
136k
    4U, // DLSA
2385
136k
    4U, // DLSA_R6
2386
136k
    1U, // DMFC0
2387
136k
    0U, // DMFC1
2388
136k
    1U, // DMFC2
2389
136k
    0U, // DMOD
2390
136k
    0U, // DMODU
2391
136k
    1U, // DMTC0
2392
136k
    0U, // DMTC1
2393
136k
    1U, // DMTC2
2394
136k
    0U, // DMUH
2395
136k
    0U, // DMUHU
2396
136k
    0U, // DMUL
2397
136k
    0U, // DMULT
2398
136k
    0U, // DMULTu
2399
136k
    0U, // DMULU
2400
136k
    0U, // DMUL_R6
2401
136k
    0U, // DOTP_S_D
2402
136k
    0U, // DOTP_S_H
2403
136k
    0U, // DOTP_S_W
2404
136k
    0U, // DOTP_U_D
2405
136k
    0U, // DOTP_U_H
2406
136k
    0U, // DOTP_U_W
2407
136k
    2U, // DPADD_S_D
2408
136k
    2U, // DPADD_S_H
2409
136k
    2U, // DPADD_S_W
2410
136k
    2U, // DPADD_U_D
2411
136k
    2U, // DPADD_U_H
2412
136k
    2U, // DPADD_U_W
2413
136k
    0U, // DPAQX_SA_W_PH
2414
136k
    0U, // DPAQX_S_W_PH
2415
136k
    0U, // DPAQ_SA_L_W
2416
136k
    0U, // DPAQ_S_W_PH
2417
136k
    0U, // DPAU_H_QBL
2418
136k
    0U, // DPAU_H_QBR
2419
136k
    0U, // DPAX_W_PH
2420
136k
    0U, // DPA_W_PH
2421
136k
    0U, // DPOP
2422
136k
    0U, // DPSQX_SA_W_PH
2423
136k
    0U, // DPSQX_S_W_PH
2424
136k
    0U, // DPSQ_SA_L_W
2425
136k
    0U, // DPSQ_S_W_PH
2426
136k
    2U, // DPSUB_S_D
2427
136k
    2U, // DPSUB_S_H
2428
136k
    2U, // DPSUB_S_W
2429
136k
    2U, // DPSUB_U_D
2430
136k
    2U, // DPSUB_U_H
2431
136k
    2U, // DPSUB_U_W
2432
136k
    0U, // DPSU_H_QBL
2433
136k
    0U, // DPSU_H_QBR
2434
136k
    0U, // DPSX_W_PH
2435
136k
    0U, // DPS_W_PH
2436
136k
    1U, // DROTR
2437
136k
    1U, // DROTR32
2438
136k
    0U, // DROTRV
2439
136k
    0U, // DSBH
2440
136k
    0U, // DSDIV
2441
136k
    0U, // DSHD
2442
136k
    1U, // DSLL
2443
136k
    1U, // DSLL32
2444
136k
    0U, // DSLL64_32
2445
136k
    0U, // DSLLV
2446
136k
    1U, // DSRA
2447
136k
    1U, // DSRA32
2448
136k
    0U, // DSRAV
2449
136k
    1U, // DSRL
2450
136k
    1U, // DSRL32
2451
136k
    0U, // DSRLV
2452
136k
    0U, // DSUB
2453
136k
    0U, // DSUBu
2454
136k
    0U, // DUDIV
2455
136k
    0U, // DivRxRy16
2456
136k
    0U, // DivuRxRy16
2457
136k
    0U, // EHB
2458
136k
    0U, // EHB_MM
2459
136k
    0U, // EI
2460
136k
    0U, // EI_MM
2461
136k
    0U, // ERET
2462
136k
    0U, // ERET_MM
2463
136k
    21U,  // EXT
2464
136k
    1U, // EXTP
2465
136k
    1U, // EXTPDP
2466
136k
    0U, // EXTPDPV
2467
136k
    0U, // EXTPV
2468
136k
    0U, // EXTRV_RS_W
2469
136k
    0U, // EXTRV_R_W
2470
136k
    0U, // EXTRV_S_H
2471
136k
    0U, // EXTRV_W
2472
136k
    1U, // EXTR_RS_W
2473
136k
    1U, // EXTR_R_W
2474
136k
    1U, // EXTR_S_H
2475
136k
    1U, // EXTR_W
2476
136k
    5U, // EXTS
2477
136k
    5U, // EXTS32
2478
136k
    21U,  // EXT_MM
2479
136k
    0U, // ExtractElementF64
2480
136k
    0U, // ExtractElementF64_64
2481
136k
    0U, // FABS_D
2482
136k
    0U, // FABS_D32
2483
136k
    0U, // FABS_D64
2484
136k
    0U, // FABS_MM
2485
136k
    0U, // FABS_S
2486
136k
    0U, // FABS_S_MM
2487
136k
    0U, // FABS_W
2488
136k
    0U, // FADD_D
2489
136k
    0U, // FADD_D32
2490
136k
    0U, // FADD_D64
2491
136k
    0U, // FADD_MM
2492
136k
    0U, // FADD_S
2493
136k
    0U, // FADD_S_MM
2494
136k
    0U, // FADD_W
2495
136k
    0U, // FCAF_D
2496
136k
    0U, // FCAF_W
2497
136k
    0U, // FCEQ_D
2498
136k
    0U, // FCEQ_W
2499
136k
    0U, // FCLASS_D
2500
136k
    0U, // FCLASS_W
2501
136k
    0U, // FCLE_D
2502
136k
    0U, // FCLE_W
2503
136k
    0U, // FCLT_D
2504
136k
    0U, // FCLT_W
2505
136k
    0U, // FCMP_D32
2506
136k
    0U, // FCMP_D32_MM
2507
136k
    0U, // FCMP_D64
2508
136k
    0U, // FCMP_S32
2509
136k
    0U, // FCMP_S32_MM
2510
136k
    0U, // FCNE_D
2511
136k
    0U, // FCNE_W
2512
136k
    0U, // FCOR_D
2513
136k
    0U, // FCOR_W
2514
136k
    0U, // FCUEQ_D
2515
136k
    0U, // FCUEQ_W
2516
136k
    0U, // FCULE_D
2517
136k
    0U, // FCULE_W
2518
136k
    0U, // FCULT_D
2519
136k
    0U, // FCULT_W
2520
136k
    0U, // FCUNE_D
2521
136k
    0U, // FCUNE_W
2522
136k
    0U, // FCUN_D
2523
136k
    0U, // FCUN_W
2524
136k
    0U, // FDIV_D
2525
136k
    0U, // FDIV_D32
2526
136k
    0U, // FDIV_D64
2527
136k
    0U, // FDIV_MM
2528
136k
    0U, // FDIV_S
2529
136k
    0U, // FDIV_S_MM
2530
136k
    0U, // FDIV_W
2531
136k
    0U, // FEXDO_H
2532
136k
    0U, // FEXDO_W
2533
136k
    0U, // FEXP2_D
2534
136k
    0U, // FEXP2_D_1_PSEUDO
2535
136k
    0U, // FEXP2_W
2536
136k
    0U, // FEXP2_W_1_PSEUDO
2537
136k
    0U, // FEXUPL_D
2538
136k
    0U, // FEXUPL_W
2539
136k
    0U, // FEXUPR_D
2540
136k
    0U, // FEXUPR_W
2541
136k
    0U, // FFINT_S_D
2542
136k
    0U, // FFINT_S_W
2543
136k
    0U, // FFINT_U_D
2544
136k
    0U, // FFINT_U_W
2545
136k
    0U, // FFQL_D
2546
136k
    0U, // FFQL_W
2547
136k
    0U, // FFQR_D
2548
136k
    0U, // FFQR_W
2549
136k
    0U, // FILL_B
2550
136k
    0U, // FILL_D
2551
136k
    0U, // FILL_FD_PSEUDO
2552
136k
    0U, // FILL_FW_PSEUDO
2553
136k
    0U, // FILL_H
2554
136k
    0U, // FILL_W
2555
136k
    0U, // FLOG2_D
2556
136k
    0U, // FLOG2_W
2557
136k
    0U, // FLOOR_L_D64
2558
136k
    0U, // FLOOR_L_S
2559
136k
    0U, // FLOOR_W_D32
2560
136k
    0U, // FLOOR_W_D64
2561
136k
    0U, // FLOOR_W_MM
2562
136k
    0U, // FLOOR_W_S
2563
136k
    0U, // FLOOR_W_S_MM
2564
136k
    2U, // FMADD_D
2565
136k
    2U, // FMADD_W
2566
136k
    0U, // FMAX_A_D
2567
136k
    0U, // FMAX_A_W
2568
136k
    0U, // FMAX_D
2569
136k
    0U, // FMAX_W
2570
136k
    0U, // FMIN_A_D
2571
136k
    0U, // FMIN_A_W
2572
136k
    0U, // FMIN_D
2573
136k
    0U, // FMIN_W
2574
136k
    0U, // FMOV_D32
2575
136k
    0U, // FMOV_D32_MM
2576
136k
    0U, // FMOV_D64
2577
136k
    0U, // FMOV_S
2578
136k
    0U, // FMOV_S_MM
2579
136k
    2U, // FMSUB_D
2580
136k
    2U, // FMSUB_W
2581
136k
    0U, // FMUL_D
2582
136k
    0U, // FMUL_D32
2583
136k
    0U, // FMUL_D64
2584
136k
    0U, // FMUL_MM
2585
136k
    0U, // FMUL_S
2586
136k
    0U, // FMUL_S_MM
2587
136k
    0U, // FMUL_W
2588
136k
    0U, // FNEG_D32
2589
136k
    0U, // FNEG_D64
2590
136k
    0U, // FNEG_MM
2591
136k
    0U, // FNEG_S
2592
136k
    0U, // FNEG_S_MM
2593
136k
    0U, // FRCP_D
2594
136k
    0U, // FRCP_W
2595
136k
    0U, // FRINT_D
2596
136k
    0U, // FRINT_W
2597
136k
    0U, // FRSQRT_D
2598
136k
    0U, // FRSQRT_W
2599
136k
    0U, // FSAF_D
2600
136k
    0U, // FSAF_W
2601
136k
    0U, // FSEQ_D
2602
136k
    0U, // FSEQ_W
2603
136k
    0U, // FSLE_D
2604
136k
    0U, // FSLE_W
2605
136k
    0U, // FSLT_D
2606
136k
    0U, // FSLT_W
2607
136k
    0U, // FSNE_D
2608
136k
    0U, // FSNE_W
2609
136k
    0U, // FSOR_D
2610
136k
    0U, // FSOR_W
2611
136k
    0U, // FSQRT_D
2612
136k
    0U, // FSQRT_D32
2613
136k
    0U, // FSQRT_D64
2614
136k
    0U, // FSQRT_MM
2615
136k
    0U, // FSQRT_S
2616
136k
    0U, // FSQRT_S_MM
2617
136k
    0U, // FSQRT_W
2618
136k
    0U, // FSUB_D
2619
136k
    0U, // FSUB_D32
2620
136k
    0U, // FSUB_D64
2621
136k
    0U, // FSUB_MM
2622
136k
    0U, // FSUB_S
2623
136k
    0U, // FSUB_S_MM
2624
136k
    0U, // FSUB_W
2625
136k
    0U, // FSUEQ_D
2626
136k
    0U, // FSUEQ_W
2627
136k
    0U, // FSULE_D
2628
136k
    0U, // FSULE_W
2629
136k
    0U, // FSULT_D
2630
136k
    0U, // FSULT_W
2631
136k
    0U, // FSUNE_D
2632
136k
    0U, // FSUNE_W
2633
136k
    0U, // FSUN_D
2634
136k
    0U, // FSUN_W
2635
136k
    0U, // FTINT_S_D
2636
136k
    0U, // FTINT_S_W
2637
136k
    0U, // FTINT_U_D
2638
136k
    0U, // FTINT_U_W
2639
136k
    0U, // FTQ_H
2640
136k
    0U, // FTQ_W
2641
136k
    0U, // FTRUNC_S_D
2642
136k
    0U, // FTRUNC_S_W
2643
136k
    0U, // FTRUNC_U_D
2644
136k
    0U, // FTRUNC_U_W
2645
136k
    0U, // GotPrologue16
2646
136k
    0U, // HADD_S_D
2647
136k
    0U, // HADD_S_H
2648
136k
    0U, // HADD_S_W
2649
136k
    0U, // HADD_U_D
2650
136k
    0U, // HADD_U_H
2651
136k
    0U, // HADD_U_W
2652
136k
    0U, // HSUB_S_D
2653
136k
    0U, // HSUB_S_H
2654
136k
    0U, // HSUB_S_W
2655
136k
    0U, // HSUB_U_D
2656
136k
    0U, // HSUB_U_H
2657
136k
    0U, // HSUB_U_W
2658
136k
    0U, // ILVEV_B
2659
136k
    0U, // ILVEV_D
2660
136k
    0U, // ILVEV_H
2661
136k
    0U, // ILVEV_W
2662
136k
    0U, // ILVL_B
2663
136k
    0U, // ILVL_D
2664
136k
    0U, // ILVL_H
2665
136k
    0U, // ILVL_W
2666
136k
    0U, // ILVOD_B
2667
136k
    0U, // ILVOD_D
2668
136k
    0U, // ILVOD_H
2669
136k
    0U, // ILVOD_W
2670
136k
    0U, // ILVR_B
2671
136k
    0U, // ILVR_D
2672
136k
    0U, // ILVR_H
2673
136k
    0U, // ILVR_W
2674
136k
    21U,  // INS
2675
136k
    0U, // INSERT_B
2676
136k
    0U, // INSERT_B_VIDX_PSEUDO
2677
136k
    0U, // INSERT_D
2678
136k
    0U, // INSERT_D_VIDX_PSEUDO
2679
136k
    0U, // INSERT_FD_PSEUDO
2680
136k
    0U, // INSERT_FD_VIDX_PSEUDO
2681
136k
    0U, // INSERT_FW_PSEUDO
2682
136k
    0U, // INSERT_FW_VIDX_PSEUDO
2683
136k
    0U, // INSERT_H
2684
136k
    0U, // INSERT_H_VIDX_PSEUDO
2685
136k
    0U, // INSERT_W
2686
136k
    0U, // INSERT_W_VIDX_PSEUDO
2687
136k
    0U, // INSV
2688
136k
    0U, // INSVE_B
2689
136k
    0U, // INSVE_D
2690
136k
    0U, // INSVE_H
2691
136k
    0U, // INSVE_W
2692
136k
    21U,  // INS_MM
2693
136k
    0U, // J
2694
136k
    0U, // JAL
2695
136k
    0U, // JALR
2696
136k
    0U, // JALR16_MM
2697
136k
    0U, // JALR64
2698
136k
    0U, // JALR64Pseudo
2699
136k
    0U, // JALRPseudo
2700
136k
    0U, // JALRS16_MM
2701
136k
    0U, // JALRS_MM
2702
136k
    0U, // JALR_HB
2703
136k
    0U, // JALR_MM
2704
136k
    0U, // JALS_MM
2705
136k
    0U, // JALX
2706
136k
    0U, // JALX_MM
2707
136k
    0U, // JAL_MM
2708
136k
    0U, // JIALC
2709
136k
    0U, // JIC
2710
136k
    0U, // JR
2711
136k
    0U, // JR16_MM
2712
136k
    0U, // JR64
2713
136k
    0U, // JRADDIUSP
2714
136k
    0U, // JRC16_MM
2715
136k
    0U, // JR_HB
2716
136k
    0U, // JR_HB_R6
2717
136k
    0U, // JR_MM
2718
136k
    0U, // J_MM
2719
136k
    0U, // Jal16
2720
136k
    0U, // JalB16
2721
136k
    0U, // JalOneReg
2722
136k
    0U, // JalTwoReg
2723
136k
    0U, // JrRa16
2724
136k
    0U, // JrcRa16
2725
136k
    0U, // JrcRx16
2726
136k
    0U, // JumpLinkReg16
2727
136k
    0U, // LB
2728
136k
    0U, // LB64
2729
136k
    0U, // LBU16_MM
2730
136k
    0U, // LBUX
2731
136k
    0U, // LB_MM
2732
136k
    0U, // LBu
2733
136k
    0U, // LBu64
2734
136k
    0U, // LBu_MM
2735
136k
    0U, // LD
2736
136k
    0U, // LDC1
2737
136k
    0U, // LDC164
2738
136k
    0U, // LDC1_MM
2739
136k
    0U, // LDC2
2740
136k
    0U, // LDC2_R6
2741
136k
    0U, // LDC3
2742
136k
    0U, // LDI_B
2743
136k
    0U, // LDI_D
2744
136k
    0U, // LDI_H
2745
136k
    0U, // LDI_W
2746
136k
    0U, // LDL
2747
136k
    0U, // LDPC
2748
136k
    0U, // LDR
2749
136k
    0U, // LDXC1
2750
136k
    0U, // LDXC164
2751
136k
    0U, // LD_B
2752
136k
    0U, // LD_D
2753
136k
    0U, // LD_H
2754
136k
    0U, // LD_W
2755
136k
    0U, // LEA_ADDiu
2756
136k
    0U, // LEA_ADDiu64
2757
136k
    0U, // LEA_ADDiu_MM
2758
136k
    0U, // LH
2759
136k
    0U, // LH64
2760
136k
    0U, // LHU16_MM
2761
136k
    0U, // LHX
2762
136k
    0U, // LH_MM
2763
136k
    0U, // LHu
2764
136k
    0U, // LHu64
2765
136k
    0U, // LHu_MM
2766
136k
    0U, // LI16_MM
2767
136k
    0U, // LL
2768
136k
    0U, // LLD
2769
136k
    0U, // LLD_R6
2770
136k
    0U, // LL_MM
2771
136k
    0U, // LL_R6
2772
136k
    0U, // LOAD_ACC128
2773
136k
    0U, // LOAD_ACC64
2774
136k
    0U, // LOAD_ACC64DSP
2775
136k
    0U, // LOAD_CCOND_DSP
2776
136k
    0U, // LONG_BRANCH_ADDiu
2777
136k
    0U, // LONG_BRANCH_DADDiu
2778
136k
    0U, // LONG_BRANCH_LUi
2779
136k
    4U, // LSA
2780
136k
    4U, // LSA_R6
2781
136k
    0U, // LUXC1
2782
136k
    0U, // LUXC164
2783
136k
    0U, // LUXC1_MM
2784
136k
    0U, // LUi
2785
136k
    0U, // LUi64
2786
136k
    0U, // LUi_MM
2787
136k
    0U, // LW
2788
136k
    0U, // LW16_MM
2789
136k
    0U, // LW64
2790
136k
    0U, // LWC1
2791
136k
    0U, // LWC1_MM
2792
136k
    0U, // LWC2
2793
136k
    0U, // LWC2_R6
2794
136k
    0U, // LWC3
2795
136k
    0U, // LWGP_MM
2796
136k
    0U, // LWL
2797
136k
    0U, // LWL64
2798
136k
    0U, // LWL_MM
2799
136k
    0U, // LWM16_MM
2800
136k
    0U, // LWM32_MM
2801
136k
    0U, // LWM_MM
2802
136k
    0U, // LWPC
2803
136k
    0U, // LWP_MM
2804
136k
    0U, // LWR
2805
136k
    0U, // LWR64
2806
136k
    0U, // LWR_MM
2807
136k
    0U, // LWSP_MM
2808
136k
    0U, // LWUPC
2809
136k
    0U, // LWU_MM
2810
136k
    0U, // LWX
2811
136k
    0U, // LWXC1
2812
136k
    0U, // LWXC1_MM
2813
136k
    0U, // LWXS_MM
2814
136k
    0U, // LW_MM
2815
136k
    0U, // LWu
2816
136k
    0U, // LbRxRyOffMemX16
2817
136k
    0U, // LbuRxRyOffMemX16
2818
136k
    0U, // LhRxRyOffMemX16
2819
136k
    0U, // LhuRxRyOffMemX16
2820
136k
    0U, // LiRxImm16
2821
136k
    0U, // LiRxImmAlignX16
2822
136k
    0U, // LiRxImmX16
2823
136k
    0U, // LoadAddr32Imm
2824
136k
    0U, // LoadAddr32Reg
2825
136k
    0U, // LoadImm32Reg
2826
136k
    0U, // LoadImm64Reg
2827
136k
    0U, // LwConstant32
2828
136k
    0U, // LwRxPcTcp16
2829
136k
    0U, // LwRxPcTcpX16
2830
136k
    0U, // LwRxRyOffMemX16
2831
136k
    0U, // LwRxSpImmX16
2832
136k
    0U, // MADD
2833
136k
    2U, // MADDF_D
2834
136k
    2U, // MADDF_S
2835
136k
    2U, // MADDR_Q_H
2836
136k
    2U, // MADDR_Q_W
2837
136k
    0U, // MADDU
2838
136k
    0U, // MADDU_DSP
2839
136k
    0U, // MADDU_MM
2840
136k
    2U, // MADDV_B
2841
136k
    2U, // MADDV_D
2842
136k
    2U, // MADDV_H
2843
136k
    2U, // MADDV_W
2844
136k
    20U,  // MADD_D32
2845
136k
    20U,  // MADD_D32_MM
2846
136k
    20U,  // MADD_D64
2847
136k
    0U, // MADD_DSP
2848
136k
    0U, // MADD_MM
2849
136k
    2U, // MADD_Q_H
2850
136k
    2U, // MADD_Q_W
2851
136k
    20U,  // MADD_S
2852
136k
    20U,  // MADD_S_MM
2853
136k
    0U, // MAQ_SA_W_PHL
2854
136k
    0U, // MAQ_SA_W_PHR
2855
136k
    0U, // MAQ_S_W_PHL
2856
136k
    0U, // MAQ_S_W_PHR
2857
136k
    0U, // MAXA_D
2858
136k
    0U, // MAXA_S
2859
136k
    0U, // MAXI_S_B
2860
136k
    0U, // MAXI_S_D
2861
136k
    0U, // MAXI_S_H
2862
136k
    0U, // MAXI_S_W
2863
136k
    0U, // MAXI_U_B
2864
136k
    0U, // MAXI_U_D
2865
136k
    0U, // MAXI_U_H
2866
136k
    0U, // MAXI_U_W
2867
136k
    0U, // MAX_A_B
2868
136k
    0U, // MAX_A_D
2869
136k
    0U, // MAX_A_H
2870
136k
    0U, // MAX_A_W
2871
136k
    0U, // MAX_D
2872
136k
    0U, // MAX_S
2873
136k
    0U, // MAX_S_B
2874
136k
    0U, // MAX_S_D
2875
136k
    0U, // MAX_S_H
2876
136k
    0U, // MAX_S_W
2877
136k
    0U, // MAX_U_B
2878
136k
    0U, // MAX_U_D
2879
136k
    0U, // MAX_U_H
2880
136k
    0U, // MAX_U_W
2881
136k
    1U, // MFC0
2882
136k
    0U, // MFC1
2883
136k
    0U, // MFC1_MM
2884
136k
    1U, // MFC2
2885
136k
    0U, // MFHC1_D32
2886
136k
    0U, // MFHC1_D64
2887
136k
    0U, // MFHC1_MM
2888
136k
    0U, // MFHI
2889
136k
    0U, // MFHI16_MM
2890
136k
    0U, // MFHI64
2891
136k
    0U, // MFHI_DSP
2892
136k
    0U, // MFHI_MM
2893
136k
    0U, // MFLO
2894
136k
    0U, // MFLO16_MM
2895
136k
    0U, // MFLO64
2896
136k
    0U, // MFLO_DSP
2897
136k
    0U, // MFLO_MM
2898
136k
    0U, // MINA_D
2899
136k
    0U, // MINA_S
2900
136k
    0U, // MINI_S_B
2901
136k
    0U, // MINI_S_D
2902
136k
    0U, // MINI_S_H
2903
136k
    0U, // MINI_S_W
2904
136k
    0U, // MINI_U_B
2905
136k
    0U, // MINI_U_D
2906
136k
    0U, // MINI_U_H
2907
136k
    0U, // MINI_U_W
2908
136k
    0U, // MIN_A_B
2909
136k
    0U, // MIN_A_D
2910
136k
    0U, // MIN_A_H
2911
136k
    0U, // MIN_A_W
2912
136k
    0U, // MIN_D
2913
136k
    0U, // MIN_S
2914
136k
    0U, // MIN_S_B
2915
136k
    0U, // MIN_S_D
2916
136k
    0U, // MIN_S_H
2917
136k
    0U, // MIN_S_W
2918
136k
    0U, // MIN_U_B
2919
136k
    0U, // MIN_U_D
2920
136k
    0U, // MIN_U_H
2921
136k
    0U, // MIN_U_W
2922
136k
    0U, // MIPSeh_return32
2923
136k
    0U, // MIPSeh_return64
2924
136k
    0U, // MOD
2925
136k
    0U, // MODSUB
2926
136k
    0U, // MODU
2927
136k
    0U, // MOD_S_B
2928
136k
    0U, // MOD_S_D
2929
136k
    0U, // MOD_S_H
2930
136k
    0U, // MOD_S_W
2931
136k
    0U, // MOD_U_B
2932
136k
    0U, // MOD_U_D
2933
136k
    0U, // MOD_U_H
2934
136k
    0U, // MOD_U_W
2935
136k
    0U, // MOVE16_MM
2936
136k
    0U, // MOVEP_MM
2937
136k
    0U, // MOVE_V
2938
136k
    0U, // MOVF_D32
2939
136k
    0U, // MOVF_D32_MM
2940
136k
    0U, // MOVF_D64
2941
136k
    0U, // MOVF_I
2942
136k
    0U, // MOVF_I64
2943
136k
    0U, // MOVF_I_MM
2944
136k
    0U, // MOVF_S
2945
136k
    0U, // MOVF_S_MM
2946
136k
    0U, // MOVN_I64_D64
2947
136k
    0U, // MOVN_I64_I
2948
136k
    0U, // MOVN_I64_I64
2949
136k
    0U, // MOVN_I64_S
2950
136k
    0U, // MOVN_I_D32
2951
136k
    0U, // MOVN_I_D32_MM
2952
136k
    0U, // MOVN_I_D64
2953
136k
    0U, // MOVN_I_I
2954
136k
    0U, // MOVN_I_I64
2955
136k
    0U, // MOVN_I_MM
2956
136k
    0U, // MOVN_I_S
2957
136k
    0U, // MOVN_I_S_MM
2958
136k
    0U, // MOVT_D32
2959
136k
    0U, // MOVT_D32_MM
2960
136k
    0U, // MOVT_D64
2961
136k
    0U, // MOVT_I
2962
136k
    0U, // MOVT_I64
2963
136k
    0U, // MOVT_I_MM
2964
136k
    0U, // MOVT_S
2965
136k
    0U, // MOVT_S_MM
2966
136k
    0U, // MOVZ_I64_D64
2967
136k
    0U, // MOVZ_I64_I
2968
136k
    0U, // MOVZ_I64_I64
2969
136k
    0U, // MOVZ_I64_S
2970
136k
    0U, // MOVZ_I_D32
2971
136k
    0U, // MOVZ_I_D32_MM
2972
136k
    0U, // MOVZ_I_D64
2973
136k
    0U, // MOVZ_I_I
2974
136k
    0U, // MOVZ_I_I64
2975
136k
    0U, // MOVZ_I_MM
2976
136k
    0U, // MOVZ_I_S
2977
136k
    0U, // MOVZ_I_S_MM
2978
136k
    0U, // MSUB
2979
136k
    2U, // MSUBF_D
2980
136k
    2U, // MSUBF_S
2981
136k
    2U, // MSUBR_Q_H
2982
136k
    2U, // MSUBR_Q_W
2983
136k
    0U, // MSUBU
2984
136k
    0U, // MSUBU_DSP
2985
136k
    0U, // MSUBU_MM
2986
136k
    2U, // MSUBV_B
2987
136k
    2U, // MSUBV_D
2988
136k
    2U, // MSUBV_H
2989
136k
    2U, // MSUBV_W
2990
136k
    20U,  // MSUB_D32
2991
136k
    20U,  // MSUB_D32_MM
2992
136k
    20U,  // MSUB_D64
2993
136k
    0U, // MSUB_DSP
2994
136k
    0U, // MSUB_MM
2995
136k
    2U, // MSUB_Q_H
2996
136k
    2U, // MSUB_Q_W
2997
136k
    20U,  // MSUB_S
2998
136k
    20U,  // MSUB_S_MM
2999
136k
    1U, // MTC0
3000
136k
    0U, // MTC1
3001
136k
    0U, // MTC1_MM
3002
136k
    1U, // MTC2
3003
136k
    0U, // MTHC1_D32
3004
136k
    0U, // MTHC1_D64
3005
136k
    0U, // MTHC1_MM
3006
136k
    0U, // MTHI
3007
136k
    0U, // MTHI64
3008
136k
    0U, // MTHI_DSP
3009
136k
    0U, // MTHI_MM
3010
136k
    0U, // MTHLIP
3011
136k
    0U, // MTLO
3012
136k
    0U, // MTLO64
3013
136k
    0U, // MTLO_DSP
3014
136k
    0U, // MTLO_MM
3015
136k
    0U, // MTM0
3016
136k
    0U, // MTM1
3017
136k
    0U, // MTM2
3018
136k
    0U, // MTP0
3019
136k
    0U, // MTP1
3020
136k
    0U, // MTP2
3021
136k
    0U, // MUH
3022
136k
    0U, // MUHU
3023
136k
    0U, // MUL
3024
136k
    0U, // MULEQ_S_W_PHL
3025
136k
    0U, // MULEQ_S_W_PHR
3026
136k
    0U, // MULEU_S_PH_QBL
3027
136k
    0U, // MULEU_S_PH_QBR
3028
136k
    0U, // MULQ_RS_PH
3029
136k
    0U, // MULQ_RS_W
3030
136k
    0U, // MULQ_S_PH
3031
136k
    0U, // MULQ_S_W
3032
136k
    0U, // MULR_Q_H
3033
136k
    0U, // MULR_Q_W
3034
136k
    0U, // MULSAQ_S_W_PH
3035
136k
    0U, // MULSA_W_PH
3036
136k
    0U, // MULT
3037
136k
    0U, // MULTU_DSP
3038
136k
    0U, // MULT_DSP
3039
136k
    0U, // MULT_MM
3040
136k
    0U, // MULTu
3041
136k
    0U, // MULTu_MM
3042
136k
    0U, // MULU
3043
136k
    0U, // MULV_B
3044
136k
    0U, // MULV_D
3045
136k
    0U, // MULV_H
3046
136k
    0U, // MULV_W
3047
136k
    0U, // MUL_MM
3048
136k
    0U, // MUL_PH
3049
136k
    0U, // MUL_Q_H
3050
136k
    0U, // MUL_Q_W
3051
136k
    0U, // MUL_R6
3052
136k
    0U, // MUL_S_PH
3053
136k
    0U, // Mfhi16
3054
136k
    0U, // Mflo16
3055
136k
    0U, // Move32R16
3056
136k
    0U, // MoveR3216
3057
136k
    0U, // MultRxRy16
3058
136k
    0U, // MultRxRyRz16
3059
136k
    0U, // MultuRxRy16
3060
136k
    0U, // MultuRxRyRz16
3061
136k
    0U, // NLOC_B
3062
136k
    0U, // NLOC_D
3063
136k
    0U, // NLOC_H
3064
136k
    0U, // NLOC_W
3065
136k
    0U, // NLZC_B
3066
136k
    0U, // NLZC_D
3067
136k
    0U, // NLZC_H
3068
136k
    0U, // NLZC_W
3069
136k
    20U,  // NMADD_D32
3070
136k
    20U,  // NMADD_D32_MM
3071
136k
    20U,  // NMADD_D64
3072
136k
    20U,  // NMADD_S
3073
136k
    20U,  // NMADD_S_MM
3074
136k
    20U,  // NMSUB_D32
3075
136k
    20U,  // NMSUB_D32_MM
3076
136k
    20U,  // NMSUB_D64
3077
136k
    20U,  // NMSUB_S
3078
136k
    20U,  // NMSUB_S_MM
3079
136k
    0U, // NOP
3080
136k
    0U, // NOR
3081
136k
    0U, // NOR64
3082
136k
    0U, // NORI_B
3083
136k
    0U, // NOR_MM
3084
136k
    0U, // NOR_V
3085
136k
    0U, // NOR_V_D_PSEUDO
3086
136k
    0U, // NOR_V_H_PSEUDO
3087
136k
    0U, // NOR_V_W_PSEUDO
3088
136k
    0U, // NOT16_MM
3089
136k
    0U, // NegRxRy16
3090
136k
    0U, // NotRxRy16
3091
136k
    0U, // OR
3092
136k
    0U, // OR16_MM
3093
136k
    0U, // OR64
3094
136k
    0U, // ORI_B
3095
136k
    0U, // OR_MM
3096
136k
    0U, // OR_V
3097
136k
    0U, // OR_V_D_PSEUDO
3098
136k
    0U, // OR_V_H_PSEUDO
3099
136k
    0U, // OR_V_W_PSEUDO
3100
136k
    1U, // ORi
3101
136k
    1U, // ORi64
3102
136k
    1U, // ORi_MM
3103
136k
    0U, // OrRxRxRy16
3104
136k
    0U, // PACKRL_PH
3105
136k
    0U, // PAUSE
3106
136k
    0U, // PAUSE_MM
3107
136k
    0U, // PCKEV_B
3108
136k
    0U, // PCKEV_D
3109
136k
    0U, // PCKEV_H
3110
136k
    0U, // PCKEV_W
3111
136k
    0U, // PCKOD_B
3112
136k
    0U, // PCKOD_D
3113
136k
    0U, // PCKOD_H
3114
136k
    0U, // PCKOD_W
3115
136k
    0U, // PCNT_B
3116
136k
    0U, // PCNT_D
3117
136k
    0U, // PCNT_H
3118
136k
    0U, // PCNT_W
3119
136k
    0U, // PICK_PH
3120
136k
    0U, // PICK_QB
3121
136k
    0U, // POP
3122
136k
    0U, // PRECEQU_PH_QBL
3123
136k
    0U, // PRECEQU_PH_QBLA
3124
136k
    0U, // PRECEQU_PH_QBR
3125
136k
    0U, // PRECEQU_PH_QBRA
3126
136k
    0U, // PRECEQ_W_PHL
3127
136k
    0U, // PRECEQ_W_PHR
3128
136k
    0U, // PRECEU_PH_QBL
3129
136k
    0U, // PRECEU_PH_QBLA
3130
136k
    0U, // PRECEU_PH_QBR
3131
136k
    0U, // PRECEU_PH_QBRA
3132
136k
    0U, // PRECRQU_S_QB_PH
3133
136k
    0U, // PRECRQ_PH_W
3134
136k
    0U, // PRECRQ_QB_PH
3135
136k
    0U, // PRECRQ_RS_PH_W
3136
136k
    0U, // PRECR_QB_PH
3137
136k
    1U, // PRECR_SRA_PH_W
3138
136k
    1U, // PRECR_SRA_R_PH_W
3139
136k
    0U, // PREF
3140
136k
    0U, // PREF_MM
3141
136k
    0U, // PREF_R6
3142
136k
    1U, // PREPEND
3143
136k
    0U, // PseudoCMPU_EQ_QB
3144
136k
    0U, // PseudoCMPU_LE_QB
3145
136k
    0U, // PseudoCMPU_LT_QB
3146
136k
    0U, // PseudoCMP_EQ_PH
3147
136k
    0U, // PseudoCMP_LE_PH
3148
136k
    0U, // PseudoCMP_LT_PH
3149
136k
    0U, // PseudoCVT_D32_W
3150
136k
    0U, // PseudoCVT_D64_L
3151
136k
    0U, // PseudoCVT_D64_W
3152
136k
    0U, // PseudoCVT_S_L
3153
136k
    0U, // PseudoCVT_S_W
3154
136k
    0U, // PseudoDMULT
3155
136k
    0U, // PseudoDMULTu
3156
136k
    0U, // PseudoDSDIV
3157
136k
    0U, // PseudoDUDIV
3158
136k
    0U, // PseudoIndirectBranch
3159
136k
    0U, // PseudoIndirectBranch64
3160
136k
    0U, // PseudoMADD
3161
136k
    0U, // PseudoMADDU
3162
136k
    0U, // PseudoMFHI
3163
136k
    0U, // PseudoMFHI64
3164
136k
    0U, // PseudoMFLO
3165
136k
    0U, // PseudoMFLO64
3166
136k
    0U, // PseudoMSUB
3167
136k
    0U, // PseudoMSUBU
3168
136k
    0U, // PseudoMTLOHI
3169
136k
    0U, // PseudoMTLOHI64
3170
136k
    0U, // PseudoMTLOHI_DSP
3171
136k
    0U, // PseudoMULT
3172
136k
    0U, // PseudoMULTu
3173
136k
    0U, // PseudoPICK_PH
3174
136k
    0U, // PseudoPICK_QB
3175
136k
    0U, // PseudoReturn
3176
136k
    0U, // PseudoReturn64
3177
136k
    0U, // PseudoSDIV
3178
136k
    0U, // PseudoSELECTFP_F_D32
3179
136k
    0U, // PseudoSELECTFP_F_D64
3180
136k
    0U, // PseudoSELECTFP_F_I
3181
136k
    0U, // PseudoSELECTFP_F_I64
3182
136k
    0U, // PseudoSELECTFP_F_S
3183
136k
    0U, // PseudoSELECTFP_T_D32
3184
136k
    0U, // PseudoSELECTFP_T_D64
3185
136k
    0U, // PseudoSELECTFP_T_I
3186
136k
    0U, // PseudoSELECTFP_T_I64
3187
136k
    0U, // PseudoSELECTFP_T_S
3188
136k
    0U, // PseudoSELECT_D32
3189
136k
    0U, // PseudoSELECT_D64
3190
136k
    0U, // PseudoSELECT_I
3191
136k
    0U, // PseudoSELECT_I64
3192
136k
    0U, // PseudoSELECT_S
3193
136k
    0U, // PseudoUDIV
3194
136k
    0U, // RADDU_W_QB
3195
136k
    0U, // RDDSP
3196
136k
    0U, // RDHWR
3197
136k
    0U, // RDHWR64
3198
136k
    0U, // RDHWR_MM
3199
136k
    0U, // REPLV_PH
3200
136k
    0U, // REPLV_QB
3201
136k
    0U, // REPL_PH
3202
136k
    0U, // REPL_QB
3203
136k
    0U, // RINT_D
3204
136k
    0U, // RINT_S
3205
136k
    1U, // ROTR
3206
136k
    0U, // ROTRV
3207
136k
    0U, // ROTRV_MM
3208
136k
    1U, // ROTR_MM
3209
136k
    0U, // ROUND_L_D64
3210
136k
    0U, // ROUND_L_S
3211
136k
    0U, // ROUND_W_D32
3212
136k
    0U, // ROUND_W_D64
3213
136k
    0U, // ROUND_W_MM
3214
136k
    0U, // ROUND_W_S
3215
136k
    0U, // ROUND_W_S_MM
3216
136k
    0U, // Restore16
3217
136k
    0U, // RestoreX16
3218
136k
    0U, // RetRA
3219
136k
    0U, // RetRA16
3220
136k
    1U, // SAT_S_B
3221
136k
    1U, // SAT_S_D
3222
136k
    0U, // SAT_S_H
3223
136k
    1U, // SAT_S_W
3224
136k
    1U, // SAT_U_B
3225
136k
    1U, // SAT_U_D
3226
136k
    0U, // SAT_U_H
3227
136k
    1U, // SAT_U_W
3228
136k
    0U, // SB
3229
136k
    0U, // SB16_MM
3230
136k
    0U, // SB64
3231
136k
    0U, // SB_MM
3232
136k
    0U, // SC
3233
136k
    0U, // SCD
3234
136k
    0U, // SCD_R6
3235
136k
    0U, // SC_MM
3236
136k
    0U, // SC_R6
3237
136k
    0U, // SD
3238
136k
    0U, // SDBBP
3239
136k
    0U, // SDBBP16_MM
3240
136k
    0U, // SDBBP_MM
3241
136k
    0U, // SDBBP_R6
3242
136k
    0U, // SDC1
3243
136k
    0U, // SDC164
3244
136k
    0U, // SDC1_MM
3245
136k
    0U, // SDC2
3246
136k
    0U, // SDC2_R6
3247
136k
    0U, // SDC3
3248
136k
    0U, // SDIV
3249
136k
    0U, // SDIV_MM
3250
136k
    0U, // SDL
3251
136k
    0U, // SDR
3252
136k
    0U, // SDXC1
3253
136k
    0U, // SDXC164
3254
136k
    0U, // SEB
3255
136k
    0U, // SEB64
3256
136k
    0U, // SEB_MM
3257
136k
    0U, // SEH
3258
136k
    0U, // SEH64
3259
136k
    0U, // SEH_MM
3260
136k
    0U, // SELEQZ
3261
136k
    0U, // SELEQZ64
3262
136k
    0U, // SELEQZ_D
3263
136k
    0U, // SELEQZ_S
3264
136k
    0U, // SELNEZ
3265
136k
    0U, // SELNEZ64
3266
136k
    0U, // SELNEZ_D
3267
136k
    0U, // SELNEZ_S
3268
136k
    2U, // SEL_D
3269
136k
    2U, // SEL_S
3270
136k
    0U, // SEQ
3271
136k
    0U, // SEQi
3272
136k
    0U, // SH
3273
136k
    0U, // SH16_MM
3274
136k
    0U, // SH64
3275
136k
    0U, // SHF_B
3276
136k
    0U, // SHF_H
3277
136k
    0U, // SHF_W
3278
136k
    0U, // SHILO
3279
136k
    0U, // SHILOV
3280
136k
    0U, // SHLLV_PH
3281
136k
    0U, // SHLLV_QB
3282
136k
    0U, // SHLLV_S_PH
3283
136k
    0U, // SHLLV_S_W
3284
136k
    1U, // SHLL_PH
3285
136k
    1U, // SHLL_QB
3286
136k
    1U, // SHLL_S_PH
3287
136k
    1U, // SHLL_S_W
3288
136k
    0U, // SHRAV_PH
3289
136k
    0U, // SHRAV_QB
3290
136k
    0U, // SHRAV_R_PH
3291
136k
    0U, // SHRAV_R_QB
3292
136k
    0U, // SHRAV_R_W
3293
136k
    1U, // SHRA_PH
3294
136k
    1U, // SHRA_QB
3295
136k
    1U, // SHRA_R_PH
3296
136k
    1U, // SHRA_R_QB
3297
136k
    1U, // SHRA_R_W
3298
136k
    0U, // SHRLV_PH
3299
136k
    0U, // SHRLV_QB
3300
136k
    1U, // SHRL_PH
3301
136k
    1U, // SHRL_QB
3302
136k
    0U, // SH_MM
3303
136k
    9U, // SLDI_B
3304
136k
    9U, // SLDI_D
3305
136k
    9U, // SLDI_H
3306
136k
    9U, // SLDI_W
3307
136k
    10U,  // SLD_B
3308
136k
    10U,  // SLD_D
3309
136k
    10U,  // SLD_H
3310
136k
    10U,  // SLD_W
3311
136k
    1U, // SLL
3312
136k
    0U, // SLL16_MM
3313
136k
    0U, // SLL64_32
3314
136k
    0U, // SLL64_64
3315
136k
    0U, // SLLI_B
3316
136k
    0U, // SLLI_D
3317
136k
    0U, // SLLI_H
3318
136k
    0U, // SLLI_W
3319
136k
    0U, // SLLV
3320
136k
    0U, // SLLV_MM
3321
136k
    0U, // SLL_B
3322
136k
    0U, // SLL_D
3323
136k
    0U, // SLL_H
3324
136k
    1U, // SLL_MM
3325
136k
    0U, // SLL_W
3326
136k
    0U, // SLT
3327
136k
    0U, // SLT64
3328
136k
    0U, // SLT_MM
3329
136k
    0U, // SLTi
3330
136k
    0U, // SLTi64
3331
136k
    0U, // SLTi_MM
3332
136k
    0U, // SLTiu
3333
136k
    0U, // SLTiu64
3334
136k
    0U, // SLTiu_MM
3335
136k
    0U, // SLTu
3336
136k
    0U, // SLTu64
3337
136k
    0U, // SLTu_MM
3338
136k
    0U, // SNE
3339
136k
    0U, // SNEi
3340
136k
    0U, // SNZ_B_PSEUDO
3341
136k
    0U, // SNZ_D_PSEUDO
3342
136k
    0U, // SNZ_H_PSEUDO
3343
136k
    0U, // SNZ_V_PSEUDO
3344
136k
    0U, // SNZ_W_PSEUDO
3345
136k
    8U, // SPLATI_B
3346
136k
    8U, // SPLATI_D
3347
136k
    8U, // SPLATI_H
3348
136k
    8U, // SPLATI_W
3349
136k
    8U, // SPLAT_B
3350
136k
    8U, // SPLAT_D
3351
136k
    8U, // SPLAT_H
3352
136k
    8U, // SPLAT_W
3353
136k
    1U, // SRA
3354
136k
    0U, // SRAI_B
3355
136k
    0U, // SRAI_D
3356
136k
    0U, // SRAI_H
3357
136k
    0U, // SRAI_W
3358
136k
    1U, // SRARI_B
3359
136k
    1U, // SRARI_D
3360
136k
    0U, // SRARI_H
3361
136k
    1U, // SRARI_W
3362
136k
    0U, // SRAR_B
3363
136k
    0U, // SRAR_D
3364
136k
    0U, // SRAR_H
3365
136k
    0U, // SRAR_W
3366
136k
    0U, // SRAV
3367
136k
    0U, // SRAV_MM
3368
136k
    0U, // SRA_B
3369
136k
    0U, // SRA_D
3370
136k
    0U, // SRA_H
3371
136k
    1U, // SRA_MM
3372
136k
    0U, // SRA_W
3373
136k
    1U, // SRL
3374
136k
    0U, // SRL16_MM
3375
136k
    0U, // SRLI_B
3376
136k
    0U, // SRLI_D
3377
136k
    0U, // SRLI_H
3378
136k
    0U, // SRLI_W
3379
136k
    1U, // SRLRI_B
3380
136k
    1U, // SRLRI_D
3381
136k
    0U, // SRLRI_H
3382
136k
    1U, // SRLRI_W
3383
136k
    0U, // SRLR_B
3384
136k
    0U, // SRLR_D
3385
136k
    0U, // SRLR_H
3386
136k
    0U, // SRLR_W
3387
136k
    0U, // SRLV
3388
136k
    0U, // SRLV_MM
3389
136k
    0U, // SRL_B
3390
136k
    0U, // SRL_D
3391
136k
    0U, // SRL_H
3392
136k
    1U, // SRL_MM
3393
136k
    0U, // SRL_W
3394
136k
    0U, // SSNOP
3395
136k
    0U, // SSNOP_MM
3396
136k
    0U, // STORE_ACC128
3397
136k
    0U, // STORE_ACC64
3398
136k
    0U, // STORE_ACC64DSP
3399
136k
    0U, // STORE_CCOND_DSP
3400
136k
    0U, // ST_B
3401
136k
    0U, // ST_D
3402
136k
    0U, // ST_H
3403
136k
    0U, // ST_W
3404
136k
    0U, // SUB
3405
136k
    0U, // SUBQH_PH
3406
136k
    0U, // SUBQH_R_PH
3407
136k
    0U, // SUBQH_R_W
3408
136k
    0U, // SUBQH_W
3409
136k
    0U, // SUBQ_PH
3410
136k
    0U, // SUBQ_S_PH
3411
136k
    0U, // SUBQ_S_W
3412
136k
    0U, // SUBSUS_U_B
3413
136k
    0U, // SUBSUS_U_D
3414
136k
    0U, // SUBSUS_U_H
3415
136k
    0U, // SUBSUS_U_W
3416
136k
    0U, // SUBSUU_S_B
3417
136k
    0U, // SUBSUU_S_D
3418
136k
    0U, // SUBSUU_S_H
3419
136k
    0U, // SUBSUU_S_W
3420
136k
    0U, // SUBS_S_B
3421
136k
    0U, // SUBS_S_D
3422
136k
    0U, // SUBS_S_H
3423
136k
    0U, // SUBS_S_W
3424
136k
    0U, // SUBS_U_B
3425
136k
    0U, // SUBS_U_D
3426
136k
    0U, // SUBS_U_H
3427
136k
    0U, // SUBS_U_W
3428
136k
    0U, // SUBU16_MM
3429
136k
    0U, // SUBUH_QB
3430
136k
    0U, // SUBUH_R_QB
3431
136k
    0U, // SUBU_PH
3432
136k
    0U, // SUBU_QB
3433
136k
    0U, // SUBU_S_PH
3434
136k
    0U, // SUBU_S_QB
3435
136k
    0U, // SUBVI_B
3436
136k
    0U, // SUBVI_D
3437
136k
    0U, // SUBVI_H
3438
136k
    0U, // SUBVI_W
3439
136k
    0U, // SUBV_B
3440
136k
    0U, // SUBV_D
3441
136k
    0U, // SUBV_H
3442
136k
    0U, // SUBV_W
3443
136k
    0U, // SUB_MM
3444
136k
    0U, // SUBu
3445
136k
    0U, // SUBu_MM
3446
136k
    0U, // SUXC1
3447
136k
    0U, // SUXC164
3448
136k
    0U, // SUXC1_MM
3449
136k
    0U, // SW
3450
136k
    0U, // SW16_MM
3451
136k
    0U, // SW64
3452
136k
    0U, // SWC1
3453
136k
    0U, // SWC1_MM
3454
136k
    0U, // SWC2
3455
136k
    0U, // SWC2_R6
3456
136k
    0U, // SWC3
3457
136k
    0U, // SWL
3458
136k
    0U, // SWL64
3459
136k
    0U, // SWL_MM
3460
136k
    0U, // SWM16_MM
3461
136k
    0U, // SWM32_MM
3462
136k
    0U, // SWM_MM
3463
136k
    0U, // SWP_MM
3464
136k
    0U, // SWR
3465
136k
    0U, // SWR64
3466
136k
    0U, // SWR_MM
3467
136k
    0U, // SWSP_MM
3468
136k
    0U, // SWXC1
3469
136k
    0U, // SWXC1_MM
3470
136k
    0U, // SW_MM
3471
136k
    0U, // SYNC
3472
136k
    0U, // SYNCI
3473
136k
    0U, // SYNC_MM
3474
136k
    0U, // SYSCALL
3475
136k
    0U, // SYSCALL_MM
3476
136k
    0U, // SZ_B_PSEUDO
3477
136k
    0U, // SZ_D_PSEUDO
3478
136k
    0U, // SZ_H_PSEUDO
3479
136k
    0U, // SZ_V_PSEUDO
3480
136k
    0U, // SZ_W_PSEUDO
3481
136k
    0U, // Save16
3482
136k
    0U, // SaveX16
3483
136k
    0U, // SbRxRyOffMemX16
3484
136k
    0U, // SebRx16
3485
136k
    0U, // SehRx16
3486
136k
    0U, // SelBeqZ
3487
136k
    0U, // SelBneZ
3488
136k
    0U, // SelTBteqZCmp
3489
136k
    0U, // SelTBteqZCmpi
3490
136k
    0U, // SelTBteqZSlt
3491
136k
    0U, // SelTBteqZSlti
3492
136k
    0U, // SelTBteqZSltiu
3493
136k
    0U, // SelTBteqZSltu
3494
136k
    0U, // SelTBtneZCmp
3495
136k
    0U, // SelTBtneZCmpi
3496
136k
    0U, // SelTBtneZSlt
3497
136k
    0U, // SelTBtneZSlti
3498
136k
    0U, // SelTBtneZSltiu
3499
136k
    0U, // SelTBtneZSltu
3500
136k
    0U, // ShRxRyOffMemX16
3501
136k
    1U, // SllX16
3502
136k
    0U, // SllvRxRy16
3503
136k
    0U, // SltCCRxRy16
3504
136k
    0U, // SltRxRy16
3505
136k
    0U, // SltiCCRxImmX16
3506
136k
    0U, // SltiRxImm16
3507
136k
    0U, // SltiRxImmX16
3508
136k
    0U, // SltiuCCRxImmX16
3509
136k
    0U, // SltiuRxImm16
3510
136k
    0U, // SltiuRxImmX16
3511
136k
    0U, // SltuCCRxRy16
3512
136k
    0U, // SltuRxRy16
3513
136k
    0U, // SltuRxRyRz16
3514
136k
    1U, // SraX16
3515
136k
    0U, // SravRxRy16
3516
136k
    1U, // SrlX16
3517
136k
    0U, // SrlvRxRy16
3518
136k
    0U, // SubuRxRyRz16
3519
136k
    0U, // SwRxRyOffMemX16
3520
136k
    0U, // SwRxSpImmX16
3521
136k
    0U, // TAILCALL
3522
136k
    0U, // TAILCALL64_R
3523
136k
    0U, // TAILCALL_R
3524
136k
    1U, // TEQ
3525
136k
    0U, // TEQI
3526
136k
    0U, // TEQI_MM
3527
136k
    1U, // TEQ_MM
3528
136k
    1U, // TGE
3529
136k
    0U, // TGEI
3530
136k
    0U, // TGEIU
3531
136k
    0U, // TGEIU_MM
3532
136k
    0U, // TGEI_MM
3533
136k
    1U, // TGEU
3534
136k
    1U, // TGEU_MM
3535
136k
    1U, // TGE_MM
3536
136k
    0U, // TLBP
3537
136k
    0U, // TLBP_MM
3538
136k
    0U, // TLBR
3539
136k
    0U, // TLBR_MM
3540
136k
    0U, // TLBWI
3541
136k
    0U, // TLBWI_MM
3542
136k
    0U, // TLBWR
3543
136k
    0U, // TLBWR_MM
3544
136k
    1U, // TLT
3545
136k
    0U, // TLTI
3546
136k
    0U, // TLTIU_MM
3547
136k
    0U, // TLTI_MM
3548
136k
    1U, // TLTU
3549
136k
    1U, // TLTU_MM
3550
136k
    1U, // TLT_MM
3551
136k
    1U, // TNE
3552
136k
    0U, // TNEI
3553
136k
    0U, // TNEI_MM
3554
136k
    1U, // TNE_MM
3555
136k
    0U, // TRAP
3556
136k
    0U, // TRUNC_L_D64
3557
136k
    0U, // TRUNC_L_S
3558
136k
    0U, // TRUNC_W_D32
3559
136k
    0U, // TRUNC_W_D64
3560
136k
    0U, // TRUNC_W_MM
3561
136k
    0U, // TRUNC_W_S
3562
136k
    0U, // TRUNC_W_S_MM
3563
136k
    0U, // TTLTIU
3564
136k
    0U, // UDIV
3565
136k
    0U, // UDIV_MM
3566
136k
    0U, // V3MULU
3567
136k
    0U, // VMM0
3568
136k
    0U, // VMULU
3569
136k
    2U, // VSHF_B
3570
136k
    2U, // VSHF_D
3571
136k
    2U, // VSHF_H
3572
136k
    2U, // VSHF_W
3573
136k
    0U, // WAIT
3574
136k
    0U, // WAIT_MM
3575
136k
    0U, // WRDSP
3576
136k
    0U, // WSBH
3577
136k
    0U, // WSBH_MM
3578
136k
    0U, // XOR
3579
136k
    0U, // XOR16_MM
3580
136k
    0U, // XOR64
3581
136k
    0U, // XORI_B
3582
136k
    0U, // XOR_MM
3583
136k
    0U, // XOR_V
3584
136k
    0U, // XOR_V_D_PSEUDO
3585
136k
    0U, // XOR_V_H_PSEUDO
3586
136k
    0U, // XOR_V_W_PSEUDO
3587
136k
    1U, // XORi
3588
136k
    1U, // XORi64
3589
136k
    1U, // XORi_MM
3590
136k
    0U, // XorRxRxRy16
3591
136k
    0U
3592
136k
  };
3593
3594
136k
#ifndef CAPSTONE_DIET
3595
136k
  static const char AsmStrs[] = {
3596
136k
  /* 0 */ 'j', 'a', 'l', 'r', 'c', 32, 9, 0,
3597
136k
  /* 8 */ 'd', 'm', 'f', 'c', '0', 9, 0,
3598
136k
  /* 15 */ 'd', 'm', 't', 'c', '0', 9, 0,
3599
136k
  /* 22 */ 'v', 'm', 'm', '0', 9, 0,
3600
136k
  /* 28 */ 'm', 't', 'm', '0', 9, 0,
3601
136k
  /* 34 */ 'm', 't', 'p', '0', 9, 0,
3602
136k
  /* 40 */ 'b', 'b', 'i', 't', '0', 9, 0,
3603
136k
  /* 47 */ 'l', 'd', 'c', '1', 9, 0,
3604
136k
  /* 53 */ 's', 'd', 'c', '1', 9, 0,
3605
136k
  /* 59 */ 'c', 'f', 'c', '1', 9, 0,
3606
136k
  /* 65 */ 'd', 'm', 'f', 'c', '1', 9, 0,
3607
136k
  /* 72 */ 'm', 'f', 'h', 'c', '1', 9, 0,
3608
136k
  /* 79 */ 'm', 't', 'h', 'c', '1', 9, 0,
3609
136k
  /* 86 */ 'c', 't', 'c', '1', 9, 0,
3610
136k
  /* 92 */ 'd', 'm', 't', 'c', '1', 9, 0,
3611
136k
  /* 99 */ 'l', 'w', 'c', '1', 9, 0,
3612
136k
  /* 105 */ 's', 'w', 'c', '1', 9, 0,
3613
136k
  /* 111 */ 'l', 'd', 'x', 'c', '1', 9, 0,
3614
136k
  /* 118 */ 's', 'd', 'x', 'c', '1', 9, 0,
3615
136k
  /* 125 */ 'l', 'u', 'x', 'c', '1', 9, 0,
3616
136k
  /* 132 */ 's', 'u', 'x', 'c', '1', 9, 0,
3617
136k
  /* 139 */ 'l', 'w', 'x', 'c', '1', 9, 0,
3618
136k
  /* 146 */ 's', 'w', 'x', 'c', '1', 9, 0,
3619
136k
  /* 153 */ 'm', 't', 'm', '1', 9, 0,
3620
136k
  /* 159 */ 'm', 't', 'p', '1', 9, 0,
3621
136k
  /* 165 */ 'b', 'b', 'i', 't', '1', 9, 0,
3622
136k
  /* 172 */ 'b', 'b', 'i', 't', '0', '3', '2', 9, 0,
3623
136k
  /* 181 */ 'b', 'b', 'i', 't', '1', '3', '2', 9, 0,
3624
136k
  /* 190 */ 'd', 's', 'r', 'a', '3', '2', 9, 0,
3625
136k
  /* 198 */ 'b', 'p', 'o', 's', 'g', 'e', '3', '2', 9, 0,
3626
136k
  /* 208 */ 'd', 's', 'l', 'l', '3', '2', 9, 0,
3627
136k
  /* 216 */ 'd', 's', 'r', 'l', '3', '2', 9, 0,
3628
136k
  /* 224 */ 'l', 'w', 'm', '3', '2', 9, 0,
3629
136k
  /* 231 */ 's', 'w', 'm', '3', '2', 9, 0,
3630
136k
  /* 238 */ 'd', 'r', 'o', 't', 'r', '3', '2', 9, 0,
3631
136k
  /* 247 */ 'l', 'd', 'c', '2', 9, 0,
3632
136k
  /* 253 */ 's', 'd', 'c', '2', 9, 0,
3633
136k
  /* 259 */ 'd', 'm', 'f', 'c', '2', 9, 0,
3634
136k
  /* 266 */ 'd', 'm', 't', 'c', '2', 9, 0,
3635
136k
  /* 273 */ 'l', 'w', 'c', '2', 9, 0,
3636
136k
  /* 279 */ 's', 'w', 'c', '2', 9, 0,
3637
136k
  /* 285 */ 'm', 't', 'm', '2', 9, 0,
3638
136k
  /* 291 */ 'm', 't', 'p', '2', 9, 0,
3639
136k
  /* 297 */ 'a', 'd', 'd', 'i', 'u', 'r', '2', 9, 0,
3640
136k
  /* 306 */ 'l', 'd', 'c', '3', 9, 0,
3641
136k
  /* 312 */ 's', 'd', 'c', '3', 9, 0,
3642
136k
  /* 318 */ 'l', 'w', 'c', '3', 9, 0,
3643
136k
  /* 324 */ 's', 'w', 'c', '3', 9, 0,
3644
136k
  /* 330 */ 'a', 'd', 'd', 'i', 'u', 's', '5', 9, 0,
3645
136k
  /* 339 */ 's', 'b', '1', '6', 9, 0,
3646
136k
  /* 345 */ 'a', 'n', 'd', '1', '6', 9, 0,
3647
136k
  /* 352 */ 's', 'h', '1', '6', 9, 0,
3648
136k
  /* 358 */ 'a', 'n', 'd', 'i', '1', '6', 9, 0,
3649
136k
  /* 366 */ 'l', 'i', '1', '6', 9, 0,
3650
136k
  /* 372 */ 'b', 'r', 'e', 'a', 'k', '1', '6', 9, 0,
3651
136k
  /* 381 */ 's', 'l', 'l', '1', '6', 9, 0,
3652
136k
  /* 388 */ 's', 'r', 'l', '1', '6', 9, 0,
3653
136k
  /* 395 */ 'l', 'w', 'm', '1', '6', 9, 0,
3654
136k
  /* 402 */ 's', 'w', 'm', '1', '6', 9, 0,
3655
136k
  /* 409 */ 's', 'd', 'b', 'b', 'p', '1', '6', 9, 0,
3656
136k
  /* 418 */ 'j', 'r', '1', '6', 9, 0,
3657
136k
  /* 424 */ 'x', 'o', 'r', '1', '6', 9, 0,
3658
136k
  /* 431 */ 'j', 'a', 'l', 'r', 's', '1', '6', 9, 0,
3659
136k
  /* 440 */ 'n', 'o', 't', '1', '6', 9, 0,
3660
136k
  /* 447 */ 'l', 'b', 'u', '1', '6', 9, 0,
3661
136k
  /* 454 */ 's', 'u', 'b', 'u', '1', '6', 9, 0,
3662
136k
  /* 462 */ 'a', 'd', 'd', 'u', '1', '6', 9, 0,
3663
136k
  /* 470 */ 'l', 'h', 'u', '1', '6', 9, 0,
3664
136k
  /* 477 */ 'l', 'w', '1', '6', 9, 0,
3665
136k
  /* 483 */ 's', 'w', '1', '6', 9, 0,
3666
136k
  /* 489 */ 'b', 'n', 'e', 'z', '1', '6', 9, 0,
3667
136k
  /* 497 */ 'b', 'e', 'q', 'z', '1', '6', 9, 0,
3668
136k
  /* 505 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 'a', 9, 0,
3669
136k
  /* 521 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 'a', 9, 0,
3670
136k
  /* 538 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 'a', 9, 0,
3671
136k
  /* 554 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 'a', 9, 0,
3672
136k
  /* 571 */ 'd', 's', 'r', 'a', 9, 0,
3673
136k
  /* 577 */ 'd', 'l', 's', 'a', 9, 0,
3674
136k
  /* 583 */ 'c', 'f', 'c', 'm', 's', 'a', 9, 0,
3675
136k
  /* 591 */ 'c', 't', 'c', 'm', 's', 'a', 9, 0,
3676
136k
  /* 599 */ 'a', 'd', 'd', '_', 'a', '.', 'b', 9, 0,
3677
136k
  /* 608 */ 'm', 'i', 'n', '_', 'a', '.', 'b', 9, 0,
3678
136k
  /* 617 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'b', 9, 0,
3679
136k
  /* 627 */ 'm', 'a', 'x', '_', 'a', '.', 'b', 9, 0,
3680
136k
  /* 636 */ 's', 'r', 'a', '.', 'b', 9, 0,
3681
136k
  /* 643 */ 'n', 'l', 'o', 'c', '.', 'b', 9, 0,
3682
136k
  /* 651 */ 'n', 'l', 'z', 'c', '.', 'b', 9, 0,
3683
136k
  /* 659 */ 's', 'l', 'd', '.', 'b', 9, 0,
3684
136k
  /* 666 */ 'p', 'c', 'k', 'o', 'd', '.', 'b', 9, 0,
3685
136k
  /* 675 */ 'i', 'l', 'v', 'o', 'd', '.', 'b', 9, 0,
3686
136k
  /* 684 */ 'i', 'n', 's', 'v', 'e', '.', 'b', 9, 0,
3687
136k
  /* 693 */ 'v', 's', 'h', 'f', '.', 'b', 9, 0,
3688
136k
  /* 701 */ 'b', 'n', 'e', 'g', '.', 'b', 9, 0,
3689
136k
  /* 709 */ 's', 'r', 'a', 'i', '.', 'b', 9, 0,
3690
136k
  /* 717 */ 's', 'l', 'd', 'i', '.', 'b', 9, 0,
3691
136k
  /* 725 */ 'a', 'n', 'd', 'i', '.', 'b', 9, 0,
3692
136k
  /* 733 */ 'b', 'n', 'e', 'g', 'i', '.', 'b', 9, 0,
3693
136k
  /* 742 */ 'b', 's', 'e', 'l', 'i', '.', 'b', 9, 0,
3694
136k
  /* 751 */ 's', 'l', 'l', 'i', '.', 'b', 9, 0,
3695
136k
  /* 759 */ 's', 'r', 'l', 'i', '.', 'b', 9, 0,
3696
136k
  /* 767 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'b', 9, 0,
3697
136k
  /* 777 */ 'c', 'e', 'q', 'i', '.', 'b', 9, 0,
3698
136k
  /* 785 */ 's', 'r', 'a', 'r', 'i', '.', 'b', 9, 0,
3699
136k
  /* 794 */ 'b', 'c', 'l', 'r', 'i', '.', 'b', 9, 0,
3700
136k
  /* 803 */ 's', 'r', 'l', 'r', 'i', '.', 'b', 9, 0,
3701
136k
  /* 812 */ 'n', 'o', 'r', 'i', '.', 'b', 9, 0,
3702
136k
  /* 820 */ 'x', 'o', 'r', 'i', '.', 'b', 9, 0,
3703
136k
  /* 828 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'b', 9, 0,
3704
136k
  /* 838 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'b', 9, 0,
3705
136k
  /* 848 */ 'b', 's', 'e', 't', 'i', '.', 'b', 9, 0,
3706
136k
  /* 857 */ 's', 'u', 'b', 'v', 'i', '.', 'b', 9, 0,
3707
136k
  /* 866 */ 'a', 'd', 'd', 'v', 'i', '.', 'b', 9, 0,
3708
136k
  /* 875 */ 'b', 'm', 'z', 'i', '.', 'b', 9, 0,
3709
136k
  /* 883 */ 'b', 'm', 'n', 'z', 'i', '.', 'b', 9, 0,
3710
136k
  /* 892 */ 'f', 'i', 'l', 'l', '.', 'b', 9, 0,
3711
136k
  /* 900 */ 's', 'l', 'l', '.', 'b', 9, 0,
3712
136k
  /* 907 */ 's', 'r', 'l', '.', 'b', 9, 0,
3713
136k
  /* 914 */ 'b', 'i', 'n', 's', 'l', '.', 'b', 9, 0,
3714
136k
  /* 923 */ 'i', 'l', 'v', 'l', '.', 'b', 9, 0,
3715
136k
  /* 931 */ 'c', 'e', 'q', '.', 'b', 9, 0,
3716
136k
  /* 938 */ 's', 'r', 'a', 'r', '.', 'b', 9, 0,
3717
136k
  /* 946 */ 'b', 'c', 'l', 'r', '.', 'b', 9, 0,
3718
136k
  /* 954 */ 's', 'r', 'l', 'r', '.', 'b', 9, 0,
3719
136k
  /* 962 */ 'b', 'i', 'n', 's', 'r', '.', 'b', 9, 0,
3720
136k
  /* 971 */ 'i', 'l', 'v', 'r', '.', 'b', 9, 0,
3721
136k
  /* 979 */ 'a', 's', 'u', 'b', '_', 's', '.', 'b', 9, 0,
3722
136k
  /* 989 */ 'm', 'o', 'd', '_', 's', '.', 'b', 9, 0,
3723
136k
  /* 998 */ 'c', 'l', 'e', '_', 's', '.', 'b', 9, 0,
3724
136k
  /* 1007 */ 'a', 'v', 'e', '_', 's', '.', 'b', 9, 0,
3725
136k
  /* 1016 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'b', 9, 0,
3726
136k
  /* 1026 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'b', 9, 0,
3727
136k
  /* 1036 */ 'c', 'l', 't', 'i', '_', 's', '.', 'b', 9, 0,
3728
136k
  /* 1046 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'b', 9, 0,
3729
136k
  /* 1056 */ 'm', 'i', 'n', '_', 's', '.', 'b', 9, 0,
3730
136k
  /* 1065 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'b', 9, 0,
3731
136k
  /* 1075 */ 's', 'u', 'b', 's', '_', 's', '.', 'b', 9, 0,
3732
136k
  /* 1085 */ 'a', 'd', 'd', 's', '_', 's', '.', 'b', 9, 0,
3733
136k
  /* 1095 */ 's', 'a', 't', '_', 's', '.', 'b', 9, 0,
3734
136k
  /* 1104 */ 'c', 'l', 't', '_', 's', '.', 'b', 9, 0,
3735
136k
  /* 1113 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'b', 9, 0,
3736
136k
  /* 1125 */ 'd', 'i', 'v', '_', 's', '.', 'b', 9, 0,
3737
136k
  /* 1134 */ 'm', 'a', 'x', '_', 's', '.', 'b', 9, 0,
3738
136k
  /* 1143 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'b', 9, 0,
3739
136k
  /* 1153 */ 's', 'p', 'l', 'a', 't', '.', 'b', 9, 0,
3740
136k
  /* 1162 */ 'b', 's', 'e', 't', '.', 'b', 9, 0,
3741
136k
  /* 1170 */ 'p', 'c', 'n', 't', '.', 'b', 9, 0,
3742
136k
  /* 1178 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'b', 9, 0,
3743
136k
  /* 1188 */ 's', 't', '.', 'b', 9, 0,
3744
136k
  /* 1194 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'b', 9, 0,
3745
136k
  /* 1204 */ 'm', 'o', 'd', '_', 'u', '.', 'b', 9, 0,
3746
136k
  /* 1213 */ 'c', 'l', 'e', '_', 'u', '.', 'b', 9, 0,
3747
136k
  /* 1222 */ 'a', 'v', 'e', '_', 'u', '.', 'b', 9, 0,
3748
136k
  /* 1231 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'b', 9, 0,
3749
136k
  /* 1241 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'b', 9, 0,
3750
136k
  /* 1251 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'b', 9, 0,
3751
136k
  /* 1261 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'b', 9, 0,
3752
136k
  /* 1271 */ 'm', 'i', 'n', '_', 'u', '.', 'b', 9, 0,
3753
136k
  /* 1280 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'b', 9, 0,
3754
136k
  /* 1290 */ 's', 'u', 'b', 's', '_', 'u', '.', 'b', 9, 0,
3755
136k
  /* 1300 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'b', 9, 0,
3756
136k
  /* 1310 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'b', 9, 0,
3757
136k
  /* 1322 */ 's', 'a', 't', '_', 'u', '.', 'b', 9, 0,
3758
136k
  /* 1331 */ 'c', 'l', 't', '_', 'u', '.', 'b', 9, 0,
3759
136k
  /* 1340 */ 'd', 'i', 'v', '_', 'u', '.', 'b', 9, 0,
3760
136k
  /* 1349 */ 'm', 'a', 'x', '_', 'u', '.', 'b', 9, 0,
3761
136k
  /* 1358 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'b', 9, 0,
3762
136k
  /* 1368 */ 'm', 's', 'u', 'b', 'v', '.', 'b', 9, 0,
3763
136k
  /* 1377 */ 'm', 'a', 'd', 'd', 'v', '.', 'b', 9, 0,
3764
136k
  /* 1386 */ 'p', 'c', 'k', 'e', 'v', '.', 'b', 9, 0,
3765
136k
  /* 1395 */ 'i', 'l', 'v', 'e', 'v', '.', 'b', 9, 0,
3766
136k
  /* 1404 */ 'm', 'u', 'l', 'v', '.', 'b', 9, 0,
3767
136k
  /* 1412 */ 'b', 'z', '.', 'b', 9, 0,
3768
136k
  /* 1418 */ 'b', 'n', 'z', '.', 'b', 9, 0,
3769
136k
  /* 1425 */ 's', 'e', 'b', 9, 0,
3770
136k
  /* 1430 */ 'j', 'r', '.', 'h', 'b', 9, 0,
3771
136k
  /* 1437 */ 'j', 'a', 'l', 'r', '.', 'h', 'b', 9, 0,
3772
136k
  /* 1446 */ 'l', 'b', 9, 0,
3773
136k
  /* 1450 */ 's', 'h', 'r', 'a', '.', 'q', 'b', 9, 0,
3774
136k
  /* 1459 */ 'c', 'm', 'p', 'g', 'd', 'u', '.', 'l', 'e', '.', 'q', 'b', 9, 0,
3775
136k
  /* 1473 */ 'c', 'm', 'p', 'g', 'u', '.', 'l', 'e', '.', 'q', 'b', 9, 0,
3776
136k
  /* 1486 */ 'c', 'm', 'p', 'u', '.', 'l', 'e', '.', 'q', 'b', 9, 0,
3777
136k
  /* 1498 */ 's', 'u', 'b', 'u', 'h', '.', 'q', 'b', 9, 0,
3778
136k
  /* 1508 */ 'a', 'd', 'd', 'u', 'h', '.', 'q', 'b', 9, 0,
3779
136k
  /* 1518 */ 'p', 'i', 'c', 'k', '.', 'q', 'b', 9, 0,
3780
136k
  /* 1527 */ 's', 'h', 'l', 'l', '.', 'q', 'b', 9, 0,
3781
136k
  /* 1536 */ 'r', 'e', 'p', 'l', '.', 'q', 'b', 9, 0,
3782
136k
  /* 1545 */ 's', 'h', 'r', 'l', '.', 'q', 'b', 9, 0,
3783
136k
  /* 1554 */ 'c', 'm', 'p', 'g', 'd', 'u', '.', 'e', 'q', '.', 'q', 'b', 9, 0,
3784
136k
  /* 1568 */ 'c', 'm', 'p', 'g', 'u', '.', 'e', 'q', '.', 'q', 'b', 9, 0,
3785
136k
  /* 1581 */ 'c', 'm', 'p', 'u', '.', 'e', 'q', '.', 'q', 'b', 9, 0,
3786
136k
  /* 1593 */ 's', 'h', 'r', 'a', '_', 'r', '.', 'q', 'b', 9, 0,
3787
136k
  /* 1604 */ 's', 'u', 'b', 'u', 'h', '_', 'r', '.', 'q', 'b', 9, 0,
3788
136k
  /* 1616 */ 'a', 'd', 'd', 'u', 'h', '_', 'r', '.', 'q', 'b', 9, 0,
3789
136k
  /* 1628 */ 's', 'h', 'r', 'a', 'v', '_', 'r', '.', 'q', 'b', 9, 0,
3790
136k
  /* 1640 */ 'a', 'b', 's', 'q', '_', 's', '.', 'q', 'b', 9, 0,
3791
136k
  /* 1651 */ 's', 'u', 'b', 'u', '_', 's', '.', 'q', 'b', 9, 0,
3792
136k
  /* 1662 */ 'a', 'd', 'd', 'u', '_', 's', '.', 'q', 'b', 9, 0,
3793
136k
  /* 1673 */ 'c', 'm', 'p', 'g', 'd', 'u', '.', 'l', 't', '.', 'q', 'b', 9, 0,
3794
136k
  /* 1687 */ 'c', 'm', 'p', 'g', 'u', '.', 'l', 't', '.', 'q', 'b', 9, 0,
3795
136k
  /* 1700 */ 'c', 'm', 'p', 'u', '.', 'l', 't', '.', 'q', 'b', 9, 0,
3796
136k
  /* 1712 */ 's', 'u', 'b', 'u', '.', 'q', 'b', 9, 0,
3797
136k
  /* 1721 */ 'a', 'd', 'd', 'u', '.', 'q', 'b', 9, 0,
3798
136k
  /* 1730 */ 's', 'h', 'r', 'a', 'v', '.', 'q', 'b', 9, 0,
3799
136k
  /* 1740 */ 's', 'h', 'l', 'l', 'v', '.', 'q', 'b', 9, 0,
3800
136k
  /* 1750 */ 'r', 'e', 'p', 'l', 'v', '.', 'q', 'b', 9, 0,
3801
136k
  /* 1760 */ 's', 'h', 'r', 'l', 'v', '.', 'q', 'b', 9, 0,
3802
136k
  /* 1770 */ 'r', 'a', 'd', 'd', 'u', '.', 'w', '.', 'q', 'b', 9, 0,
3803
136k
  /* 1782 */ 's', 'b', 9, 0,
3804
136k
  /* 1786 */ 'm', 'o', 'd', 's', 'u', 'b', 9, 0,
3805
136k
  /* 1794 */ 'm', 's', 'u', 'b', 9, 0,
3806
136k
  /* 1800 */ 'b', 'c', 9, 0,
3807
136k
  /* 1804 */ 'b', 'g', 'e', 'c', 9, 0,
3808
136k
  /* 1810 */ 'b', 'n', 'e', 'c', 9, 0,
3809
136k
  /* 1816 */ 'j', 'i', 'c', 9, 0,
3810
136k
  /* 1821 */ 'b', 'a', 'l', 'c', 9, 0,
3811
136k
  /* 1827 */ 'j', 'i', 'a', 'l', 'c', 9, 0,
3812
136k
  /* 1834 */ 'b', 'g', 'e', 'z', 'a', 'l', 'c', 9, 0,
3813
136k
  /* 1843 */ 'b', 'l', 'e', 'z', 'a', 'l', 'c', 9, 0,
3814
136k
  /* 1852 */ 'b', 'n', 'e', 'z', 'a', 'l', 'c', 9, 0,
3815
136k
  /* 1861 */ 'b', 'e', 'q', 'z', 'a', 'l', 'c', 9, 0,
3816
136k
  /* 1870 */ 'b', 'g', 't', 'z', 'a', 'l', 'c', 9, 0,
3817
136k
  /* 1879 */ 'b', 'l', 't', 'z', 'a', 'l', 'c', 9, 0,
3818
136k
  /* 1888 */ 'l', 'd', 'p', 'c', 9, 0,
3819
136k
  /* 1894 */ 'a', 'u', 'i', 'p', 'c', 9, 0,
3820
136k
  /* 1901 */ 'a', 'l', 'u', 'i', 'p', 'c', 9, 0,
3821
136k
  /* 1909 */ 'a', 'd', 'd', 'i', 'u', 'p', 'c', 9, 0,
3822
136k
  /* 1918 */ 'l', 'w', 'u', 'p', 'c', 9, 0,
3823
136k
  /* 1925 */ 'l', 'w', 'p', 'c', 9, 0,
3824
136k
  /* 1931 */ 'b', 'e', 'q', 'c', 9, 0,
3825
136k
  /* 1937 */ 'j', 'r', 'c', 9, 0,
3826
136k
  /* 1942 */ 'a', 'd', 'd', 's', 'c', 9, 0,
3827
136k
  /* 1949 */ 'b', 'l', 't', 'c', 9, 0,
3828
136k
  /* 1955 */ 'b', 'g', 'e', 'u', 'c', 9, 0,
3829
136k
  /* 1962 */ 'b', 'l', 't', 'u', 'c', 9, 0,
3830
136k
  /* 1969 */ 'b', 'n', 'v', 'c', 9, 0,
3831
136k
  /* 1975 */ 'b', 'o', 'v', 'c', 9, 0,
3832
136k
  /* 1981 */ 'a', 'd', 'd', 'w', 'c', 9, 0,
3833
136k
  /* 1988 */ 'b', 'g', 'e', 'z', 'c', 9, 0,
3834
136k
  /* 1995 */ 'b', 'l', 'e', 'z', 'c', 9, 0,
3835
136k
  /* 2002 */ 'b', 'n', 'e', 'z', 'c', 9, 0,
3836
136k
  /* 2009 */ 'b', 'e', 'q', 'z', 'c', 9, 0,
3837
136k
  /* 2016 */ 'b', 'g', 't', 'z', 'c', 9, 0,
3838
136k
  /* 2023 */ 'b', 'l', 't', 'z', 'c', 9, 0,
3839
136k
  /* 2030 */ 'f', 'l', 'o', 'g', '2', '.', 'd', 9, 0,
3840
136k
  /* 2039 */ 'f', 'e', 'x', 'p', '2', '.', 'd', 9, 0,
3841
136k
  /* 2048 */ 'a', 'd', 'd', '_', 'a', '.', 'd', 9, 0,
3842
136k
  /* 2057 */ 'f', 'm', 'i', 'n', '_', 'a', '.', 'd', 9, 0,
3843
136k
  /* 2067 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'd', 9, 0,
3844
136k
  /* 2077 */ 'f', 'm', 'a', 'x', '_', 'a', '.', 'd', 9, 0,
3845
136k
  /* 2087 */ 'm', 'i', 'n', 'a', '.', 'd', 9, 0,
3846
136k
  /* 2095 */ 's', 'r', 'a', '.', 'd', 9, 0,
3847
136k
  /* 2102 */ 'm', 'a', 'x', 'a', '.', 'd', 9, 0,
3848
136k
  /* 2110 */ 'f', 's', 'u', 'b', '.', 'd', 9, 0,
3849
136k
  /* 2118 */ 'f', 'm', 's', 'u', 'b', '.', 'd', 9, 0,
3850
136k
  /* 2127 */ 'n', 'm', 's', 'u', 'b', '.', 'd', 9, 0,
3851
136k
  /* 2136 */ 'n', 'l', 'o', 'c', '.', 'd', 9, 0,
3852
136k
  /* 2144 */ 'n', 'l', 'z', 'c', '.', 'd', 9, 0,
3853
136k
  /* 2152 */ 'f', 'a', 'd', 'd', '.', 'd', 9, 0,
3854
136k
  /* 2160 */ 'f', 'm', 'a', 'd', 'd', '.', 'd', 9, 0,
3855
136k
  /* 2169 */ 'n', 'm', 'a', 'd', 'd', '.', 'd', 9, 0,
3856
136k
  /* 2178 */ 's', 'l', 'd', '.', 'd', 9, 0,
3857
136k
  /* 2185 */ 'p', 'c', 'k', 'o', 'd', '.', 'd', 9, 0,
3858
136k
  /* 2194 */ 'i', 'l', 'v', 'o', 'd', '.', 'd', 9, 0,
3859
136k
  /* 2203 */ 'c', '.', 'n', 'g', 'e', '.', 'd', 9, 0,
3860
136k
  /* 2212 */ 'c', '.', 'l', 'e', '.', 'd', 9, 0,
3861
136k
  /* 2220 */ 'c', 'm', 'p', '.', 'l', 'e', '.', 'd', 9, 0,
3862
136k
  /* 2230 */ 'f', 'c', 'l', 'e', '.', 'd', 9, 0,
3863
136k
  /* 2238 */ 'c', '.', 'n', 'g', 'l', 'e', '.', 'd', 9, 0,
3864
136k
  /* 2248 */ 'c', '.', 'o', 'l', 'e', '.', 'd', 9, 0,
3865
136k
  /* 2257 */ 'c', 'm', 'p', '.', 's', 'l', 'e', '.', 'd', 9, 0,
3866
136k
  /* 2268 */ 'f', 's', 'l', 'e', '.', 'd', 9, 0,
3867
136k
  /* 2276 */ 'c', '.', 'u', 'l', 'e', '.', 'd', 9, 0,
3868
136k
  /* 2285 */ 'c', 'm', 'p', '.', 'u', 'l', 'e', '.', 'd', 9, 0,
3869
136k
  /* 2296 */ 'f', 'c', 'u', 'l', 'e', '.', 'd', 9, 0,
3870
136k
  /* 2305 */ 'c', 'm', 'p', '.', 's', 'u', 'l', 'e', '.', 'd', 9, 0,
3871
136k
  /* 2317 */ 'f', 's', 'u', 'l', 'e', '.', 'd', 9, 0,
3872
136k
  /* 2326 */ 'f', 'c', 'n', 'e', '.', 'd', 9, 0,
3873
136k
  /* 2334 */ 'f', 's', 'n', 'e', '.', 'd', 9, 0,
3874
136k
  /* 2342 */ 'f', 'c', 'u', 'n', 'e', '.', 'd', 9, 0,
3875
136k
  /* 2351 */ 'f', 's', 'u', 'n', 'e', '.', 'd', 9, 0,
3876
136k
  /* 2360 */ 'i', 'n', 's', 'v', 'e', '.', 'd', 9, 0,
3877
136k
  /* 2369 */ 'c', '.', 'f', '.', 'd', 9, 0,
3878
136k
  /* 2376 */ 'c', 'm', 'p', '.', 'a', 'f', '.', 'd', 9, 0,
3879
136k
  /* 2386 */ 'f', 'c', 'a', 'f', '.', 'd', 9, 0,
3880
136k
  /* 2394 */ 'c', 'm', 'p', '.', 's', 'a', 'f', '.', 'd', 9, 0,
3881
136k
  /* 2405 */ 'f', 's', 'a', 'f', '.', 'd', 9, 0,
3882
136k
  /* 2413 */ 'm', 's', 'u', 'b', 'f', '.', 'd', 9, 0,
3883
136k
  /* 2422 */ 'm', 'a', 'd', 'd', 'f', '.', 'd', 9, 0,
3884
136k
  /* 2431 */ 'v', 's', 'h', 'f', '.', 'd', 9, 0,
3885
136k
  /* 2439 */ 'c', '.', 's', 'f', '.', 'd', 9, 0,
3886
136k
  /* 2447 */ 'm', 'o', 'v', 'f', '.', 'd', 9, 0,
3887
136k
  /* 2455 */ 'b', 'n', 'e', 'g', '.', 'd', 9, 0,
3888
136k
  /* 2463 */ 's', 'r', 'a', 'i', '.', 'd', 9, 0,
3889
136k
  /* 2471 */ 's', 'l', 'd', 'i', '.', 'd', 9, 0,
3890
136k
  /* 2479 */ 'b', 'n', 'e', 'g', 'i', '.', 'd', 9, 0,
3891
136k
  /* 2488 */ 's', 'l', 'l', 'i', '.', 'd', 9, 0,
3892
136k
  /* 2496 */ 's', 'r', 'l', 'i', '.', 'd', 9, 0,
3893
136k
  /* 2504 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'd', 9, 0,
3894
136k
  /* 2514 */ 'c', 'e', 'q', 'i', '.', 'd', 9, 0,
3895
136k
  /* 2522 */ 's', 'r', 'a', 'r', 'i', '.', 'd', 9, 0,
3896
136k
  /* 2531 */ 'b', 'c', 'l', 'r', 'i', '.', 'd', 9, 0,
3897
136k
  /* 2540 */ 's', 'r', 'l', 'r', 'i', '.', 'd', 9, 0,
3898
136k
  /* 2549 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'd', 9, 0,
3899
136k
  /* 2559 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'd', 9, 0,
3900
136k
  /* 2569 */ 'b', 's', 'e', 't', 'i', '.', 'd', 9, 0,
3901
136k
  /* 2578 */ 's', 'u', 'b', 'v', 'i', '.', 'd', 9, 0,
3902
136k
  /* 2587 */ 'a', 'd', 'd', 'v', 'i', '.', 'd', 9, 0,
3903
136k
  /* 2596 */ 't', 'r', 'u', 'n', 'c', '.', 'l', '.', 'd', 9, 0,
3904
136k
  /* 2607 */ 'r', 'o', 'u', 'n', 'd', '.', 'l', '.', 'd', 9, 0,
3905
136k
  /* 2618 */ 'c', 'e', 'i', 'l', '.', 'l', '.', 'd', 9, 0,
3906
136k
  /* 2628 */ 'f', 'l', 'o', 'o', 'r', '.', 'l', '.', 'd', 9, 0,
3907
136k
  /* 2639 */ 'c', 'v', 't', '.', 'l', '.', 'd', 9, 0,
3908
136k
  /* 2648 */ 's', 'e', 'l', '.', 'd', 9, 0,
3909
136k
  /* 2655 */ 'c', '.', 'n', 'g', 'l', '.', 'd', 9, 0,
3910
136k
  /* 2664 */ 'f', 'i', 'l', 'l', '.', 'd', 9, 0,
3911
136k
  /* 2672 */ 's', 'l', 'l', '.', 'd', 9, 0,
3912
136k
  /* 2679 */ 'f', 'e', 'x', 'u', 'p', 'l', '.', 'd', 9, 0,
3913
136k
  /* 2689 */ 'f', 'f', 'q', 'l', '.', 'd', 9, 0,
3914
136k
  /* 2697 */ 's', 'r', 'l', '.', 'd', 9, 0,
3915
136k
  /* 2704 */ 'b', 'i', 'n', 's', 'l', '.', 'd', 9, 0,
3916
136k
  /* 2713 */ 'f', 'm', 'u', 'l', '.', 'd', 9, 0,
3917
136k
  /* 2721 */ 'i', 'l', 'v', 'l', '.', 'd', 9, 0,
3918
136k
  /* 2729 */ 'f', 'm', 'i', 'n', '.', 'd', 9, 0,
3919
136k
  /* 2737 */ 'c', '.', 'u', 'n', '.', 'd', 9, 0,
3920
136k
  /* 2745 */ 'c', 'm', 'p', '.', 'u', 'n', '.', 'd', 9, 0,
3921
136k
  /* 2755 */ 'f', 'c', 'u', 'n', '.', 'd', 9, 0,
3922
136k
  /* 2763 */ 'c', 'm', 'p', '.', 's', 'u', 'n', '.', 'd', 9, 0,
3923
136k
  /* 2774 */ 'f', 's', 'u', 'n', '.', 'd', 9, 0,
3924
136k
  /* 2782 */ 'm', 'o', 'v', 'n', '.', 'd', 9, 0,
3925
136k
  /* 2790 */ 'f', 'r', 'c', 'p', '.', 'd', 9, 0,
3926
136k
  /* 2798 */ 'c', '.', 'e', 'q', '.', 'd', 9, 0,
3927
136k
  /* 2806 */ 'c', 'm', 'p', '.', 'e', 'q', '.', 'd', 9, 0,
3928
136k
  /* 2816 */ 'f', 'c', 'e', 'q', '.', 'd', 9, 0,
3929
136k
  /* 2824 */ 'c', '.', 's', 'e', 'q', '.', 'd', 9, 0,
3930
136k
  /* 2833 */ 'c', 'm', 'p', '.', 's', 'e', 'q', '.', 'd', 9, 0,
3931
136k
  /* 2844 */ 'f', 's', 'e', 'q', '.', 'd', 9, 0,
3932
136k
  /* 2852 */ 'c', '.', 'u', 'e', 'q', '.', 'd', 9, 0,
3933
136k
  /* 2861 */ 'c', 'm', 'p', '.', 'u', 'e', 'q', '.', 'd', 9, 0,
3934
136k
  /* 2872 */ 'f', 'c', 'u', 'e', 'q', '.', 'd', 9, 0,
3935
136k
  /* 2881 */ 'c', 'm', 'p', '.', 's', 'u', 'e', 'q', '.', 'd', 9, 0,
3936
136k
  /* 2893 */ 'f', 's', 'u', 'e', 'q', '.', 'd', 9, 0,
3937
136k
  /* 2902 */ 's', 'r', 'a', 'r', '.', 'd', 9, 0,
3938
136k
  /* 2910 */ 'b', 'c', 'l', 'r', '.', 'd', 9, 0,
3939
136k
  /* 2918 */ 's', 'r', 'l', 'r', '.', 'd', 9, 0,
3940
136k
  /* 2926 */ 'f', 'c', 'o', 'r', '.', 'd', 9, 0,
3941
136k
  /* 2934 */ 'f', 's', 'o', 'r', '.', 'd', 9, 0,
3942
136k
  /* 2942 */ 'f', 'e', 'x', 'u', 'p', 'r', '.', 'd', 9, 0,
3943
136k
  /* 2952 */ 'f', 'f', 'q', 'r', '.', 'd', 9, 0,
3944
136k
  /* 2960 */ 'b', 'i', 'n', 's', 'r', '.', 'd', 9, 0,
3945
136k
  /* 2969 */ 'i', 'l', 'v', 'r', '.', 'd', 9, 0,
3946
136k
  /* 2977 */ 'c', 'v', 't', '.', 's', '.', 'd', 9, 0,
3947
136k
  /* 2986 */ 'a', 's', 'u', 'b', '_', 's', '.', 'd', 9, 0,
3948
136k
  /* 2996 */ 'h', 's', 'u', 'b', '_', 's', '.', 'd', 9, 0,
3949
136k
  /* 3006 */ 'd', 'p', 's', 'u', 'b', '_', 's', '.', 'd', 9, 0,
3950
136k
  /* 3017 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 's', '.', 'd', 9, 0,
3951
136k
  /* 3029 */ 'h', 'a', 'd', 'd', '_', 's', '.', 'd', 9, 0,
3952
136k
  /* 3039 */ 'd', 'p', 'a', 'd', 'd', '_', 's', '.', 'd', 9, 0,
3953
136k
  /* 3050 */ 'm', 'o', 'd', '_', 's', '.', 'd', 9, 0,
3954
136k
  /* 3059 */ 'c', 'l', 'e', '_', 's', '.', 'd', 9, 0,
3955
136k
  /* 3068 */ 'a', 'v', 'e', '_', 's', '.', 'd', 9, 0,
3956
136k
  /* 3077 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'd', 9, 0,
3957
136k
  /* 3087 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'd', 9, 0,
3958
136k
  /* 3097 */ 'c', 'l', 't', 'i', '_', 's', '.', 'd', 9, 0,
3959
136k
  /* 3107 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'd', 9, 0,
3960
136k
  /* 3117 */ 'm', 'i', 'n', '_', 's', '.', 'd', 9, 0,
3961
136k
  /* 3126 */ 'd', 'o', 't', 'p', '_', 's', '.', 'd', 9, 0,
3962
136k
  /* 3136 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'd', 9, 0,
3963
136k
  /* 3146 */ 's', 'u', 'b', 's', '_', 's', '.', 'd', 9, 0,
3964
136k
  /* 3156 */ 'a', 'd', 'd', 's', '_', 's', '.', 'd', 9, 0,
3965
136k
  /* 3166 */ 's', 'a', 't', '_', 's', '.', 'd', 9, 0,
3966
136k
  /* 3175 */ 'c', 'l', 't', '_', 's', '.', 'd', 9, 0,
3967
136k
  /* 3184 */ 'f', 'f', 'i', 'n', 't', '_', 's', '.', 'd', 9, 0,
3968
136k
  /* 3195 */ 'f', 't', 'i', 'n', 't', '_', 's', '.', 'd', 9, 0,
3969
136k
  /* 3206 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'd', 9, 0,
3970
136k
  /* 3218 */ 'd', 'i', 'v', '_', 's', '.', 'd', 9, 0,
3971
136k
  /* 3227 */ 'm', 'a', 'x', '_', 's', '.', 'd', 9, 0,
3972
136k
  /* 3236 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'd', 9, 0,
3973
136k
  /* 3246 */ 'a', 'b', 's', '.', 'd', 9, 0,
3974
136k
  /* 3253 */ 'f', 'c', 'l', 'a', 's', 's', '.', 'd', 9, 0,
3975
136k
  /* 3263 */ 's', 'p', 'l', 'a', 't', '.', 'd', 9, 0,
3976
136k
  /* 3272 */ 'b', 's', 'e', 't', '.', 'd', 9, 0,
3977
136k
  /* 3280 */ 'c', '.', 'n', 'g', 't', '.', 'd', 9, 0,
3978
136k
  /* 3289 */ 'c', '.', 'l', 't', '.', 'd', 9, 0,
3979
136k
  /* 3297 */ 'c', 'm', 'p', '.', 'l', 't', '.', 'd', 9, 0,
3980
136k
  /* 3307 */ 'f', 'c', 'l', 't', '.', 'd', 9, 0,
3981
136k
  /* 3315 */ 'c', '.', 'o', 'l', 't', '.', 'd', 9, 0,
3982
136k
  /* 3324 */ 'c', 'm', 'p', '.', 's', 'l', 't', '.', 'd', 9, 0,
3983
136k
  /* 3335 */ 'f', 's', 'l', 't', '.', 'd', 9, 0,
3984
136k
  /* 3343 */ 'c', '.', 'u', 'l', 't', '.', 'd', 9, 0,
3985
136k
  /* 3352 */ 'c', 'm', 'p', '.', 'u', 'l', 't', '.', 'd', 9, 0,
3986
136k
  /* 3363 */ 'f', 'c', 'u', 'l', 't', '.', 'd', 9, 0,
3987
136k
  /* 3372 */ 'c', 'm', 'p', '.', 's', 'u', 'l', 't', '.', 'd', 9, 0,
3988
136k
  /* 3384 */ 'f', 's', 'u', 'l', 't', '.', 'd', 9, 0,
3989
136k
  /* 3393 */ 'p', 'c', 'n', 't', '.', 'd', 9, 0,
3990
136k
  /* 3401 */ 'f', 'r', 'i', 'n', 't', '.', 'd', 9, 0,
3991
136k
  /* 3410 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'd', 9, 0,
3992
136k
  /* 3420 */ 'f', 's', 'q', 'r', 't', '.', 'd', 9, 0,
3993
136k
  /* 3429 */ 'f', 'r', 's', 'q', 'r', 't', '.', 'd', 9, 0,
3994
136k
  /* 3439 */ 's', 't', '.', 'd', 9, 0,
3995
136k
  /* 3445 */ 'm', 'o', 'v', 't', '.', 'd', 9, 0,
3996
136k
  /* 3453 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'd', 9, 0,
3997
136k
  /* 3463 */ 'h', 's', 'u', 'b', '_', 'u', '.', 'd', 9, 0,
3998
136k
  /* 3473 */ 'd', 'p', 's', 'u', 'b', '_', 'u', '.', 'd', 9, 0,
3999
136k
  /* 3484 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 'u', '.', 'd', 9, 0,
4000
136k
  /* 3496 */ 'h', 'a', 'd', 'd', '_', 'u', '.', 'd', 9, 0,
4001
136k
  /* 3506 */ 'd', 'p', 'a', 'd', 'd', '_', 'u', '.', 'd', 9, 0,
4002
136k
  /* 3517 */ 'm', 'o', 'd', '_', 'u', '.', 'd', 9, 0,
4003
136k
  /* 3526 */ 'c', 'l', 'e', '_', 'u', '.', 'd', 9, 0,
4004
136k
  /* 3535 */ 'a', 'v', 'e', '_', 'u', '.', 'd', 9, 0,
4005
136k
  /* 3544 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'd', 9, 0,
4006
136k
  /* 3554 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'd', 9, 0,
4007
136k
  /* 3564 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'd', 9, 0,
4008
136k
  /* 3574 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'd', 9, 0,
4009
136k
  /* 3584 */ 'm', 'i', 'n', '_', 'u', '.', 'd', 9, 0,
4010
136k
  /* 3593 */ 'd', 'o', 't', 'p', '_', 'u', '.', 'd', 9, 0,
4011
136k
  /* 3603 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'd', 9, 0,
4012
136k
  /* 3613 */ 's', 'u', 'b', 's', '_', 'u', '.', 'd', 9, 0,
4013
136k
  /* 3623 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'd', 9, 0,
4014
136k
  /* 3633 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'd', 9, 0,
4015
136k
  /* 3645 */ 's', 'a', 't', '_', 'u', '.', 'd', 9, 0,
4016
136k
  /* 3654 */ 'c', 'l', 't', '_', 'u', '.', 'd', 9, 0,
4017
136k
  /* 3663 */ 'f', 'f', 'i', 'n', 't', '_', 'u', '.', 'd', 9, 0,
4018
136k
  /* 3674 */ 'f', 't', 'i', 'n', 't', '_', 'u', '.', 'd', 9, 0,
4019
136k
  /* 3685 */ 'd', 'i', 'v', '_', 'u', '.', 'd', 9, 0,
4020
136k
  /* 3694 */ 'm', 'a', 'x', '_', 'u', '.', 'd', 9, 0,
4021
136k
  /* 3703 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'd', 9, 0,
4022
136k
  /* 3713 */ 'm', 's', 'u', 'b', 'v', '.', 'd', 9, 0,
4023
136k
  /* 3722 */ 'm', 'a', 'd', 'd', 'v', '.', 'd', 9, 0,
4024
136k
  /* 3731 */ 'p', 'c', 'k', 'e', 'v', '.', 'd', 9, 0,
4025
136k
  /* 3740 */ 'i', 'l', 'v', 'e', 'v', '.', 'd', 9, 0,
4026
136k
  /* 3749 */ 'f', 'd', 'i', 'v', '.', 'd', 9, 0,
4027
136k
  /* 3757 */ 'm', 'u', 'l', 'v', '.', 'd', 9, 0,
4028
136k
  /* 3765 */ 'm', 'o', 'v', '.', 'd', 9, 0,
4029
136k
  /* 3772 */ 't', 'r', 'u', 'n', 'c', '.', 'w', '.', 'd', 9, 0,
4030
136k
  /* 3783 */ 'r', 'o', 'u', 'n', 'd', '.', 'w', '.', 'd', 9, 0,
4031
136k
  /* 3794 */ 'c', 'e', 'i', 'l', '.', 'w', '.', 'd', 9, 0,
4032
136k
  /* 3804 */ 'f', 'l', 'o', 'o', 'r', '.', 'w', '.', 'd', 9, 0,
4033
136k
  /* 3815 */ 'c', 'v', 't', '.', 'w', '.', 'd', 9, 0,
4034
136k
  /* 3824 */ 'f', 'm', 'a', 'x', '.', 'd', 9, 0,
4035
136k
  /* 3832 */ 'b', 'z', '.', 'd', 9, 0,
4036
136k
  /* 3838 */ 's', 'e', 'l', 'n', 'e', 'z', '.', 'd', 9, 0,
4037
136k
  /* 3848 */ 'b', 'n', 'z', '.', 'd', 9, 0,
4038
136k
  /* 3855 */ 's', 'e', 'l', 'e', 'q', 'z', '.', 'd', 9, 0,
4039
136k
  /* 3865 */ 'm', 'o', 'v', 'z', '.', 'd', 9, 0,
4040
136k
  /* 3873 */ 's', 'c', 'd', 9, 0,
4041
136k
  /* 3878 */ 'd', 'a', 'd', 'd', 9, 0,
4042
136k
  /* 3884 */ 'm', 'a', 'd', 'd', 9, 0,
4043
136k
  /* 3890 */ 'd', 's', 'h', 'd', 9, 0,
4044
136k
  /* 3896 */ 'l', 'l', 'd', 9, 0,
4045
136k
  /* 3901 */ 'a', 'n', 'd', 9, 0,
4046
136k
  /* 3906 */ 'p', 'r', 'e', 'p', 'e', 'n', 'd', 9, 0,
4047
136k
  /* 3915 */ 'a', 'p', 'p', 'e', 'n', 'd', 9, 0,
4048
136k
  /* 3923 */ 'd', 'm', 'o', 'd', 9, 0,
4049
136k
  /* 3929 */ 's', 'd', 9, 0,
4050
136k
  /* 3933 */ 't', 'g', 'e', 9, 0,
4051
136k
  /* 3938 */ 'c', 'a', 'c', 'h', 'e', 9, 0,
4052
136k
  /* 3945 */ 'b', 'n', 'e', 9, 0,
4053
136k
  /* 3950 */ 's', 'n', 'e', 9, 0,
4054
136k
  /* 3955 */ 't', 'n', 'e', 9, 0,
4055
136k
  /* 3960 */ 'm', 'o', 'v', 'e', 9, 0,
4056
136k
  /* 3966 */ 'b', 'c', '0', 'f', 9, 0,
4057
136k
  /* 3972 */ 'b', 'c', '1', 'f', 9, 0,
4058
136k
  /* 3978 */ 'b', 'c', '2', 'f', 9, 0,
4059
136k
  /* 3984 */ 'b', 'c', '3', 'f', 9, 0,
4060
136k
  /* 3990 */ 'p', 'r', 'e', 'f', 9, 0,
4061
136k
  /* 3996 */ 'm', 'o', 'v', 'f', 9, 0,
4062
136k
  /* 4002 */ 'n', 'e', 'g', 9, 0,
4063
136k
  /* 4007 */ 'a', 'd', 'd', '_', 'a', '.', 'h', 9, 0,
4064
136k
  /* 4016 */ 'm', 'i', 'n', '_', 'a', '.', 'h', 9, 0,
4065
136k
  /* 4025 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'h', 9, 0,
4066
136k
  /* 4035 */ 'm', 'a', 'x', '_', 'a', '.', 'h', 9, 0,
4067
136k
  /* 4044 */ 's', 'r', 'a', '.', 'h', 9, 0,
4068
136k
  /* 4051 */ 'n', 'l', 'o', 'c', '.', 'h', 9, 0,
4069
136k
  /* 4059 */ 'n', 'l', 'z', 'c', '.', 'h', 9, 0,
4070
136k
  /* 4067 */ 's', 'l', 'd', '.', 'h', 9, 0,
4071
136k
  /* 4074 */ 'p', 'c', 'k', 'o', 'd', '.', 'h', 9, 0,
4072
136k
  /* 4083 */ 'i', 'l', 'v', 'o', 'd', '.', 'h', 9, 0,
4073
136k
  /* 4092 */ 'i', 'n', 's', 'v', 'e', '.', 'h', 9, 0,
4074
136k
  /* 4101 */ 'v', 's', 'h', 'f', '.', 'h', 9, 0,
4075
136k
  /* 4109 */ 'b', 'n', 'e', 'g', '.', 'h', 9, 0,
4076
136k
  /* 4117 */ 's', 'r', 'a', 'i', '.', 'h', 9, 0,
4077
136k
  /* 4125 */ 's', 'l', 'd', 'i', '.', 'h', 9, 0,
4078
136k
  /* 4133 */ 'b', 'n', 'e', 'g', 'i', '.', 'h', 9, 0,
4079
136k
  /* 4142 */ 's', 'l', 'l', 'i', '.', 'h', 9, 0,
4080
136k
  /* 4150 */ 's', 'r', 'l', 'i', '.', 'h', 9, 0,
4081
136k
  /* 4158 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'h', 9, 0,
4082
136k
  /* 4168 */ 'c', 'e', 'q', 'i', '.', 'h', 9, 0,
4083
136k
  /* 4176 */ 's', 'r', 'a', 'r', 'i', '.', 'h', 9, 0,
4084
136k
  /* 4185 */ 'b', 'c', 'l', 'r', 'i', '.', 'h', 9, 0,
4085
136k
  /* 4194 */ 's', 'r', 'l', 'r', 'i', '.', 'h', 9, 0,
4086
136k
  /* 4203 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'h', 9, 0,
4087
136k
  /* 4213 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'h', 9, 0,
4088
136k
  /* 4223 */ 'b', 's', 'e', 't', 'i', '.', 'h', 9, 0,
4089
136k
  /* 4232 */ 's', 'u', 'b', 'v', 'i', '.', 'h', 9, 0,
4090
136k
  /* 4241 */ 'a', 'd', 'd', 'v', 'i', '.', 'h', 9, 0,
4091
136k
  /* 4250 */ 'f', 'i', 'l', 'l', '.', 'h', 9, 0,
4092
136k
  /* 4258 */ 's', 'l', 'l', '.', 'h', 9, 0,
4093
136k
  /* 4265 */ 's', 'r', 'l', '.', 'h', 9, 0,
4094
136k
  /* 4272 */ 'b', 'i', 'n', 's', 'l', '.', 'h', 9, 0,
4095
136k
  /* 4281 */ 'i', 'l', 'v', 'l', '.', 'h', 9, 0,
4096
136k
  /* 4289 */ 'f', 'e', 'x', 'd', 'o', '.', 'h', 9, 0,
4097
136k
  /* 4298 */ 'm', 's', 'u', 'b', '_', 'q', '.', 'h', 9, 0,
4098
136k
  /* 4308 */ 'm', 'a', 'd', 'd', '_', 'q', '.', 'h', 9, 0,
4099
136k
  /* 4318 */ 'm', 'u', 'l', '_', 'q', '.', 'h', 9, 0,
4100
136k
  /* 4327 */ 'm', 's', 'u', 'b', 'r', '_', 'q', '.', 'h', 9, 0,
4101
136k
  /* 4338 */ 'm', 'a', 'd', 'd', 'r', '_', 'q', '.', 'h', 9, 0,
4102
136k
  /* 4349 */ 'm', 'u', 'l', 'r', '_', 'q', '.', 'h', 9, 0,
4103
136k
  /* 4359 */ 'c', 'e', 'q', '.', 'h', 9, 0,
4104
136k
  /* 4366 */ 'f', 't', 'q', '.', 'h', 9, 0,
4105
136k
  /* 4373 */ 's', 'r', 'a', 'r', '.', 'h', 9, 0,
4106
136k
  /* 4381 */ 'b', 'c', 'l', 'r', '.', 'h', 9, 0,
4107
136k
  /* 4389 */ 's', 'r', 'l', 'r', '.', 'h', 9, 0,
4108
136k
  /* 4397 */ 'b', 'i', 'n', 's', 'r', '.', 'h', 9, 0,
4109
136k
  /* 4406 */ 'i', 'l', 'v', 'r', '.', 'h', 9, 0,
4110
136k
  /* 4414 */ 'a', 's', 'u', 'b', '_', 's', '.', 'h', 9, 0,
4111
136k
  /* 4424 */ 'h', 's', 'u', 'b', '_', 's', '.', 'h', 9, 0,
4112
136k
  /* 4434 */ 'd', 'p', 's', 'u', 'b', '_', 's', '.', 'h', 9, 0,
4113
136k
  /* 4445 */ 'h', 'a', 'd', 'd', '_', 's', '.', 'h', 9, 0,
4114
136k
  /* 4455 */ 'd', 'p', 'a', 'd', 'd', '_', 's', '.', 'h', 9, 0,
4115
136k
  /* 4466 */ 'm', 'o', 'd', '_', 's', '.', 'h', 9, 0,
4116
136k
  /* 4475 */ 'c', 'l', 'e', '_', 's', '.', 'h', 9, 0,
4117
136k
  /* 4484 */ 'a', 'v', 'e', '_', 's', '.', 'h', 9, 0,
4118
136k
  /* 4493 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'h', 9, 0,
4119
136k
  /* 4503 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'h', 9, 0,
4120
136k
  /* 4513 */ 'c', 'l', 't', 'i', '_', 's', '.', 'h', 9, 0,
4121
136k
  /* 4523 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'h', 9, 0,
4122
136k
  /* 4533 */ 'm', 'i', 'n', '_', 's', '.', 'h', 9, 0,
4123
136k
  /* 4542 */ 'd', 'o', 't', 'p', '_', 's', '.', 'h', 9, 0,
4124
136k
  /* 4552 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'h', 9, 0,
4125
136k
  /* 4562 */ 'e', 'x', 't', 'r', '_', 's', '.', 'h', 9, 0,
4126
136k
  /* 4572 */ 's', 'u', 'b', 's', '_', 's', '.', 'h', 9, 0,
4127
136k
  /* 4582 */ 'a', 'd', 'd', 's', '_', 's', '.', 'h', 9, 0,
4128
136k
  /* 4592 */ 's', 'a', 't', '_', 's', '.', 'h', 9, 0,
4129
136k
  /* 4601 */ 'c', 'l', 't', '_', 's', '.', 'h', 9, 0,
4130
136k
  /* 4610 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'h', 9, 0,
4131
136k
  /* 4622 */ 'd', 'i', 'v', '_', 's', '.', 'h', 9, 0,
4132
136k
  /* 4631 */ 'e', 'x', 't', 'r', 'v', '_', 's', '.', 'h', 9, 0,
4133
136k
  /* 4642 */ 'm', 'a', 'x', '_', 's', '.', 'h', 9, 0,
4134
136k
  /* 4651 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'h', 9, 0,
4135
136k
  /* 4661 */ 's', 'p', 'l', 'a', 't', '.', 'h', 9, 0,
4136
136k
  /* 4670 */ 'b', 's', 'e', 't', '.', 'h', 9, 0,
4137
136k
  /* 4678 */ 'p', 'c', 'n', 't', '.', 'h', 9, 0,
4138
136k
  /* 4686 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'h', 9, 0,
4139
136k
  /* 4696 */ 's', 't', '.', 'h', 9, 0,
4140
136k
  /* 4702 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'h', 9, 0,
4141
136k
  /* 4712 */ 'h', 's', 'u', 'b', '_', 'u', '.', 'h', 9, 0,
4142
136k
  /* 4722 */ 'd', 'p', 's', 'u', 'b', '_', 'u', '.', 'h', 9, 0,
4143
136k
  /* 4733 */ 'h', 'a', 'd', 'd', '_', 'u', '.', 'h', 9, 0,
4144
136k
  /* 4743 */ 'd', 'p', 'a', 'd', 'd', '_', 'u', '.', 'h', 9, 0,
4145
136k
  /* 4754 */ 'm', 'o', 'd', '_', 'u', '.', 'h', 9, 0,
4146
136k
  /* 4763 */ 'c', 'l', 'e', '_', 'u', '.', 'h', 9, 0,
4147
136k
  /* 4772 */ 'a', 'v', 'e', '_', 'u', '.', 'h', 9, 0,
4148
136k
  /* 4781 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'h', 9, 0,
4149
136k
  /* 4791 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'h', 9, 0,
4150
136k
  /* 4801 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'h', 9, 0,
4151
136k
  /* 4811 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'h', 9, 0,
4152
136k
  /* 4821 */ 'm', 'i', 'n', '_', 'u', '.', 'h', 9, 0,
4153
136k
  /* 4830 */ 'd', 'o', 't', 'p', '_', 'u', '.', 'h', 9, 0,
4154
136k
  /* 4840 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'h', 9, 0,
4155
136k
  /* 4850 */ 's', 'u', 'b', 's', '_', 'u', '.', 'h', 9, 0,
4156
136k
  /* 4860 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'h', 9, 0,
4157
136k
  /* 4870 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'h', 9, 0,
4158
136k
  /* 4882 */ 's', 'a', 't', '_', 'u', '.', 'h', 9, 0,
4159
136k
  /* 4891 */ 'c', 'l', 't', '_', 'u', '.', 'h', 9, 0,
4160
136k
  /* 4900 */ 'd', 'i', 'v', '_', 'u', '.', 'h', 9, 0,
4161
136k
  /* 4909 */ 'm', 'a', 'x', '_', 'u', '.', 'h', 9, 0,
4162
136k
  /* 4918 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'h', 9, 0,
4163
136k
  /* 4928 */ 'm', 's', 'u', 'b', 'v', '.', 'h', 9, 0,
4164
136k
  /* 4937 */ 'm', 'a', 'd', 'd', 'v', '.', 'h', 9, 0,
4165
136k
  /* 4946 */ 'p', 'c', 'k', 'e', 'v', '.', 'h', 9, 0,
4166
136k
  /* 4955 */ 'i', 'l', 'v', 'e', 'v', '.', 'h', 9, 0,
4167
136k
  /* 4964 */ 'm', 'u', 'l', 'v', '.', 'h', 9, 0,
4168
136k
  /* 4972 */ 'b', 'z', '.', 'h', 9, 0,
4169
136k
  /* 4978 */ 'b', 'n', 'z', '.', 'h', 9, 0,
4170
136k
  /* 4985 */ 'd', 's', 'b', 'h', 9, 0,
4171
136k
  /* 4991 */ 'w', 's', 'b', 'h', 9, 0,
4172
136k
  /* 4997 */ 's', 'e', 'h', 9, 0,
4173
136k
  /* 5002 */ 'l', 'h', 9, 0,
4174
136k
  /* 5006 */ 's', 'h', 'r', 'a', '.', 'p', 'h', 9, 0,
4175
136k
  /* 5015 */ 'p', 'r', 'e', 'c', 'r', 'q', '.', 'q', 'b', '.', 'p', 'h', 9, 0,
4176
136k
  /* 5029 */ 'p', 'r', 'e', 'c', 'r', '.', 'q', 'b', '.', 'p', 'h', 9, 0,
4177
136k
  /* 5042 */ 'p', 'r', 'e', 'c', 'r', 'q', 'u', '_', 's', '.', 'q', 'b', '.', 'p', 'h', 9, 0,
4178
136k
  /* 5059 */ 'c', 'm', 'p', '.', 'l', 'e', '.', 'p', 'h', 9, 0,
4179
136k
  /* 5070 */ 's', 'u', 'b', 'q', 'h', '.', 'p', 'h', 9, 0,
4180
136k
  /* 5080 */ 'a', 'd', 'd', 'q', 'h', '.', 'p', 'h', 9, 0,
4181
136k
  /* 5090 */ 'p', 'i', 'c', 'k', '.', 'p', 'h', 9, 0,
4182
136k
  /* 5099 */ 's', 'h', 'l', 'l', '.', 'p', 'h', 9, 0,
4183
136k
  /* 5108 */ 'r', 'e', 'p', 'l', '.', 'p', 'h', 9, 0,
4184
136k
  /* 5117 */ 's', 'h', 'r', 'l', '.', 'p', 'h', 9, 0,
4185
136k
  /* 5126 */ 'p', 'a', 'c', 'k', 'r', 'l', '.', 'p', 'h', 9, 0,
4186
136k
  /* 5137 */ 'm', 'u', 'l', '.', 'p', 'h', 9, 0,
4187
136k
  /* 5145 */ 's', 'u', 'b', 'q', '.', 'p', 'h', 9, 0,
4188
136k
  /* 5154 */ 'a', 'd', 'd', 'q', '.', 'p', 'h', 9, 0,
4189
136k
  /* 5163 */ 'c', 'm', 'p', '.', 'e', 'q', '.', 'p', 'h', 9, 0,
4190
136k
  /* 5174 */ 's', 'h', 'r', 'a', '_', 'r', '.', 'p', 'h', 9, 0,
4191
136k
  /* 5185 */ 's', 'u', 'b', 'q', 'h', '_', 'r', '.', 'p', 'h', 9, 0,
4192
136k
  /* 5197 */ 'a', 'd', 'd', 'q', 'h', '_', 'r', '.', 'p', 'h', 9, 0,
4193
136k
  /* 5209 */ 's', 'h', 'r', 'a', 'v', '_', 'r', '.', 'p', 'h', 9, 0,
4194
136k
  /* 5221 */ 's', 'h', 'l', 'l', '_', 's', '.', 'p', 'h', 9, 0,
4195
136k
  /* 5232 */ 'm', 'u', 'l', '_', 's', '.', 'p', 'h', 9, 0,
4196
136k
  /* 5242 */ 's', 'u', 'b', 'q', '_', 's', '.', 'p', 'h', 9, 0,
4197
136k
  /* 5253 */ 'a', 'd', 'd', 'q', '_', 's', '.', 'p', 'h', 9, 0,
4198
136k
  /* 5264 */ 'm', 'u', 'l', 'q', '_', 's', '.', 'p', 'h', 9, 0,
4199
136k
  /* 5275 */ 'a', 'b', 's', 'q', '_', 's', '.', 'p', 'h', 9, 0,
4200
136k
  /* 5286 */ 's', 'u', 'b', 'u', '_', 's', '.', 'p', 'h', 9, 0,
4201
136k
  /* 5297 */ 'a', 'd', 'd', 'u', '_', 's', '.', 'p', 'h', 9, 0,
4202
136k
  /* 5308 */ 's', 'h', 'l', 'l', 'v', '_', 's', '.', 'p', 'h', 9, 0,
4203
136k
  /* 5320 */ 'm', 'u', 'l', 'q', '_', 'r', 's', '.', 'p', 'h', 9, 0,
4204
136k
  /* 5332 */ 'c', 'm', 'p', '.', 'l', 't', '.', 'p', 'h', 9, 0,
4205
136k
  /* 5343 */ 's', 'u', 'b', 'u', '.', 'p', 'h', 9, 0,
4206
136k
  /* 5352 */ 'a', 'd', 'd', 'u', '.', 'p', 'h', 9, 0,
4207
136k
  /* 5361 */ 's', 'h', 'r', 'a', 'v', '.', 'p', 'h', 9, 0,
4208
136k
  /* 5371 */ 's', 'h', 'l', 'l', 'v', '.', 'p', 'h', 9, 0,
4209
136k
  /* 5381 */ 'r', 'e', 'p', 'l', 'v', '.', 'p', 'h', 9, 0,
4210
136k
  /* 5391 */ 's', 'h', 'r', 'l', 'v', '.', 'p', 'h', 9, 0,
4211
136k
  /* 5401 */ 'd', 'p', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
4212
136k
  /* 5411 */ 'd', 'p', 'a', 'q', 'x', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
4213
136k
  /* 5426 */ 'd', 'p', 's', 'q', 'x', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
4214
136k
  /* 5441 */ 'm', 'u', 'l', 's', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
4215
136k
  /* 5453 */ 'd', 'p', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
4216
136k
  /* 5466 */ 'm', 'u', 'l', 's', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
4217
136k
  /* 5481 */ 'd', 'p', 's', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
4218
136k
  /* 5494 */ 'd', 'p', 'a', 'q', 'x', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
4219
136k
  /* 5508 */ 'd', 'p', 's', 'q', 'x', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
4220
136k
  /* 5522 */ 'd', 'p', 's', '.', 'w', '.', 'p', 'h', 9, 0,
4221
136k
  /* 5532 */ 'd', 'p', 'a', 'x', '.', 'w', '.', 'p', 'h', 9, 0,
4222
136k
  /* 5543 */ 'd', 'p', 's', 'x', '.', 'w', '.', 'p', 'h', 9, 0,
4223
136k
  /* 5554 */ 's', 'h', 9, 0,
4224
136k
  /* 5558 */ 'd', 'm', 'u', 'h', 9, 0,
4225
136k
  /* 5564 */ 's', 'y', 'n', 'c', 'i', 9, 0,
4226
136k
  /* 5571 */ 'd', 'a', 'd', 'd', 'i', 9, 0,
4227
136k
  /* 5578 */ 'a', 'n', 'd', 'i', 9, 0,
4228
136k
  /* 5584 */ 't', 'g', 'e', 'i', 9, 0,
4229
136k
  /* 5590 */ 's', 'n', 'e', 'i', 9, 0,
4230
136k
  /* 5596 */ 't', 'n', 'e', 'i', 9, 0,
4231
136k
  /* 5602 */ 'd', 'a', 'h', 'i', 9, 0,
4232
136k
  /* 5608 */ 'm', 'f', 'h', 'i', 9, 0,
4233
136k
  /* 5614 */ 'm', 't', 'h', 'i', 9, 0,
4234
136k
  /* 5620 */ '.', 'a', 'l', 'i', 'g', 'n', 32, '2', 10, 9, 'l', 'i', 9, 0,
4235
136k
  /* 5634 */ 'd', 'l', 'i', 9, 0,
4236
136k
  /* 5639 */ 'c', 'm', 'p', 'i', 9, 0,
4237
136k
  /* 5645 */ 's', 'e', 'q', 'i', 9, 0,
4238
136k
  /* 5651 */ 't', 'e', 'q', 'i', 9, 0,
4239
136k
  /* 5657 */ 'x', 'o', 'r', 'i', 9, 0,
4240
136k
  /* 5663 */ 'd', 'a', 't', 'i', 9, 0,
4241
136k
  /* 5669 */ 's', 'l', 't', 'i', 9, 0,
4242
136k
  /* 5675 */ 't', 'l', 't', 'i', 9, 0,
4243
136k
  /* 5681 */ 'd', 'a', 'u', 'i', 9, 0,
4244
136k
  /* 5687 */ 'l', 'u', 'i', 9, 0,
4245
136k
  /* 5692 */ 'j', 9, 0,
4246
136k
  /* 5695 */ 'b', 'r', 'e', 'a', 'k', 9, 0,
4247
136k
  /* 5702 */ 'c', 'v', 't', '.', 'd', '.', 'l', 9, 0,
4248
136k
  /* 5711 */ 'c', 'v', 't', '.', 's', '.', 'l', 9, 0,
4249
136k
  /* 5720 */ 'b', 'a', 'l', 9, 0,
4250
136k
  /* 5725 */ 'j', 'a', 'l', 9, 0,
4251
136k
  /* 5730 */ 'b', 'g', 'e', 'z', 'a', 'l', 9, 0,
4252
136k
  /* 5738 */ 'b', 'l', 't', 'z', 'a', 'l', 9, 0,
4253
136k
  /* 5746 */ 'd', 'p', 'a', 'u', '.', 'h', '.', 'q', 'b', 'l', 9, 0,
4254
136k
  /* 5758 */ 'd', 'p', 's', 'u', '.', 'h', '.', 'q', 'b', 'l', 9, 0,
4255
136k
  /* 5770 */ 'm', 'u', 'l', 'e', 'u', '_', 's', '.', 'p', 'h', '.', 'q', 'b', 'l', 9, 0,
4256
136k
  /* 5786 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 9, 0,
4257
136k
  /* 5801 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 9, 0,
4258
136k
  /* 5817 */ 'l', 'd', 'l', 9, 0,
4259
136k
  /* 5822 */ 's', 'd', 'l', 9, 0,
4260
136k
  /* 5827 */ 'b', 'n', 'e', 'l', 9, 0,
4261
136k
  /* 5833 */ 'b', 'c', '0', 'f', 'l', 9, 0,
4262
136k
  /* 5840 */ 'b', 'c', '1', 'f', 'l', 9, 0,
4263
136k
  /* 5847 */ 'b', 'c', '2', 'f', 'l', 9, 0,
4264
136k
  /* 5854 */ 'b', 'c', '3', 'f', 'l', 9, 0,
4265
136k
  /* 5861 */ 'm', 'a', 'q', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
4266
136k
  /* 5875 */ 'p', 'r', 'e', 'c', 'e', 'q', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
4267
136k
  /* 5889 */ 'm', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
4268
136k
  /* 5902 */ 'm', 'u', 'l', 'e', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
4269
136k
  /* 5917 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 9, 0,
4270
136k
  /* 5926 */ 'b', 'g', 'e', 'z', 'a', 'l', 'l', 9, 0,
4271
136k
  /* 5935 */ 'b', 'l', 't', 'z', 'a', 'l', 'l', 9, 0,
4272
136k
  /* 5944 */ 'd', 's', 'l', 'l', 9, 0,
4273
136k
  /* 5950 */ 'b', 'e', 'q', 'l', 9, 0,
4274
136k
  /* 5956 */ 'd', 's', 'r', 'l', 9, 0,
4275
136k
  /* 5962 */ 'b', 'c', '0', 't', 'l', 9, 0,
4276
136k
  /* 5969 */ 'b', 'c', '1', 't', 'l', 9, 0,
4277
136k
  /* 5976 */ 'b', 'c', '2', 't', 'l', 9, 0,
4278
136k
  /* 5983 */ 'b', 'c', '3', 't', 'l', 9, 0,
4279
136k
  /* 5990 */ 'd', 'm', 'u', 'l', 9, 0,
4280
136k
  /* 5996 */ 'l', 'w', 'l', 9, 0,
4281
136k
  /* 6001 */ 's', 'w', 'l', 9, 0,
4282
136k
  /* 6006 */ 'b', 'g', 'e', 'z', 'l', 9, 0,
4283
136k
  /* 6013 */ 'b', 'l', 'e', 'z', 'l', 9, 0,
4284
136k
  /* 6020 */ 'b', 'g', 't', 'z', 'l', 9, 0,
4285
136k
  /* 6027 */ 'b', 'l', 't', 'z', 'l', 9, 0,
4286
136k
  /* 6034 */ 'l', 'w', 'm', 9, 0,
4287
136k
  /* 6039 */ 's', 'w', 'm', 9, 0,
4288
136k
  /* 6044 */ 'b', 'a', 'l', 'i', 'g', 'n', 9, 0,
4289
136k
  /* 6052 */ 'd', 'a', 'l', 'i', 'g', 'n', 9, 0,
4290
136k
  /* 6060 */ 'm', 'o', 'v', 'n', 9, 0,
4291
136k
  /* 6066 */ 'd', 'c', 'l', 'o', 9, 0,
4292
136k
  /* 6072 */ 'm', 'f', 'l', 'o', 9, 0,
4293
136k
  /* 6078 */ 's', 'h', 'i', 'l', 'o', 9, 0,
4294
136k
  /* 6085 */ 'm', 't', 'l', 'o', 9, 0,
4295
136k
  /* 6091 */ 'd', 'b', 'i', 't', 's', 'w', 'a', 'p', 9, 0,
4296
136k
  /* 6101 */ 's', 'd', 'b', 'b', 'p', 9, 0,
4297
136k
  /* 6108 */ 'e', 'x', 't', 'p', 'd', 'p', 9, 0,
4298
136k
  /* 6116 */ 'm', 'o', 'v', 'e', 'p', 9, 0,
4299
136k
  /* 6123 */ 'm', 't', 'h', 'l', 'i', 'p', 9, 0,
4300
136k
  /* 6131 */ 'c', 'm', 'p', 9, 0,
4301
136k
  /* 6136 */ 'd', 'p', 'o', 'p', 9, 0,
4302
136k
  /* 6142 */ 'a', 'd', 'd', 'i', 'u', 'r', '1', 's', 'p', 9, 0,
4303
136k
  /* 6153 */ 'l', 'o', 'a', 'd', '_', 'c', 'c', 'o', 'n', 'd', '_', 'd', 's', 'p', 9, 0,
4304
136k
  /* 6169 */ 's', 't', 'o', 'r', 'e', '_', 'c', 'c', 'o', 'n', 'd', '_', 'd', 's', 'p', 9, 0,
4305
136k
  /* 6186 */ 'r', 'd', 'd', 's', 'p', 9, 0,
4306
136k
  /* 6193 */ 'w', 'r', 'd', 's', 'p', 9, 0,
4307
136k
  /* 6200 */ 'j', 'r', 'a', 'd', 'd', 'i', 'u', 's', 'p', 9, 0,
4308
136k
  /* 6211 */ 'e', 'x', 't', 'p', 9, 0,
4309
136k
  /* 6217 */ 'l', 'w', 'p', 9, 0,
4310
136k
  /* 6222 */ 's', 'w', 'p', 9, 0,
4311
136k
  /* 6227 */ 'b', 'e', 'q', 9, 0,
4312
136k
  /* 6232 */ 's', 'e', 'q', 9, 0,
4313
136k
  /* 6237 */ 't', 'e', 'q', 9, 0,
4314
136k
  /* 6242 */ 'd', 'p', 'a', 'u', '.', 'h', '.', 'q', 'b', 'r', 9, 0,
4315
136k
  /* 6254 */ 'd', 'p', 's', 'u', '.', 'h', '.', 'q', 'b', 'r', 9, 0,
4316
136k
  /* 6266 */ 'm', 'u', 'l', 'e', 'u', '_', 's', '.', 'p', 'h', '.', 'q', 'b', 'r', 9, 0,
4317
136k
  /* 6282 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 9, 0,
4318
136k
  /* 6297 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 9, 0,
4319
136k
  /* 6313 */ 'l', 'd', 'r', 9, 0,
4320
136k
  /* 6318 */ 's', 'd', 'r', 9, 0,
4321
136k
  /* 6323 */ 'm', 'a', 'q', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
4322
136k
  /* 6337 */ 'p', 'r', 'e', 'c', 'e', 'q', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
4323
136k
  /* 6351 */ 'm', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
4324
136k
  /* 6364 */ 'm', 'u', 'l', 'e', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
4325
136k
  /* 6379 */ 'j', 'r', 9, 0,
4326
136k
  /* 6383 */ 'j', 'a', 'l', 'r', 9, 0,
4327
136k
  /* 6389 */ 'n', 'o', 'r', 9, 0,
4328
136k
  /* 6394 */ 'x', 'o', 'r', 9, 0,
4329
136k
  /* 6399 */ 'd', 'r', 'o', 't', 'r', 9, 0,
4330
136k
  /* 6406 */ 'r', 'd', 'h', 'w', 'r', 9, 0,
4331
136k
  /* 6413 */ 'l', 'w', 'r', 9, 0,
4332
136k
  /* 6418 */ 's', 'w', 'r', 9, 0,
4333
136k
  /* 6423 */ 'm', 'i', 'n', 'a', '.', 's', 9, 0,
4334
136k
  /* 6431 */ 'm', 'a', 'x', 'a', '.', 's', 9, 0,
4335
136k
  /* 6439 */ 'n', 'm', 's', 'u', 'b', '.', 's', 9, 0,
4336
136k
  /* 6448 */ 'c', 'v', 't', '.', 'd', '.', 's', 9, 0,
4337
136k
  /* 6457 */ 'n', 'm', 'a', 'd', 'd', '.', 's', 9, 0,
4338
136k
  /* 6466 */ 'c', '.', 'n', 'g', 'e', '.', 's', 9, 0,
4339
136k
  /* 6475 */ 'c', '.', 'l', 'e', '.', 's', 9, 0,
4340
136k
  /* 6483 */ 'c', 'm', 'p', '.', 'l', 'e', '.', 's', 9, 0,
4341
136k
  /* 6493 */ 'c', '.', 'n', 'g', 'l', 'e', '.', 's', 9, 0,
4342
136k
  /* 6503 */ 'c', '.', 'o', 'l', 'e', '.', 's', 9, 0,
4343
136k
  /* 6512 */ 'c', 'm', 'p', '.', 's', 'l', 'e', '.', 's', 9, 0,
4344
136k
  /* 6523 */ 'c', '.', 'u', 'l', 'e', '.', 's', 9, 0,
4345
136k
  /* 6532 */ 'c', 'm', 'p', '.', 'u', 'l', 'e', '.', 's', 9, 0,
4346
136k
  /* 6543 */ 'c', 'm', 'p', '.', 's', 'u', 'l', 'e', '.', 's', 9, 0,
4347
136k
  /* 6555 */ 'c', '.', 'f', '.', 's', 9, 0,
4348
136k
  /* 6562 */ 'c', 'm', 'p', '.', 'a', 'f', '.', 's', 9, 0,
4349
136k
  /* 6572 */ 'c', 'm', 'p', '.', 's', 'a', 'f', '.', 's', 9, 0,
4350
136k
  /* 6583 */ 'm', 's', 'u', 'b', 'f', '.', 's', 9, 0,
4351
136k
  /* 6592 */ 'm', 'a', 'd', 'd', 'f', '.', 's', 9, 0,
4352
136k
  /* 6601 */ 'c', '.', 's', 'f', '.', 's', 9, 0,
4353
136k
  /* 6609 */ 'm', 'o', 'v', 'f', '.', 's', 9, 0,
4354
136k
  /* 6617 */ 'n', 'e', 'g', '.', 's', 9, 0,
4355
136k
  /* 6624 */ 't', 'r', 'u', 'n', 'c', '.', 'l', '.', 's', 9, 0,
4356
136k
  /* 6635 */ 'r', 'o', 'u', 'n', 'd', '.', 'l', '.', 's', 9, 0,
4357
136k
  /* 6646 */ 'c', 'e', 'i', 'l', '.', 'l', '.', 's', 9, 0,
4358
136k
  /* 6656 */ 'f', 'l', 'o', 'o', 'r', '.', 'l', '.', 's', 9, 0,
4359
136k
  /* 6667 */ 'c', 'v', 't', '.', 'l', '.', 's', 9, 0,
4360
136k
  /* 6676 */ 's', 'e', 'l', '.', 's', 9, 0,
4361
136k
  /* 6683 */ 'c', '.', 'n', 'g', 'l', '.', 's', 9, 0,
4362
136k
  /* 6692 */ 'm', 'u', 'l', '.', 's', 9, 0,
4363
136k
  /* 6699 */ 'm', 'i', 'n', '.', 's', 9, 0,
4364
136k
  /* 6706 */ 'c', '.', 'u', 'n', '.', 's', 9, 0,
4365
136k
  /* 6714 */ 'c', 'm', 'p', '.', 'u', 'n', '.', 's', 9, 0,
4366
136k
  /* 6724 */ 'c', 'm', 'p', '.', 's', 'u', 'n', '.', 's', 9, 0,
4367
136k
  /* 6735 */ 'm', 'o', 'v', 'n', '.', 's', 9, 0,
4368
136k
  /* 6743 */ 'c', '.', 'e', 'q', '.', 's', 9, 0,
4369
136k
  /* 6751 */ 'c', 'm', 'p', '.', 'e', 'q', '.', 's', 9, 0,
4370
136k
  /* 6761 */ 'c', '.', 's', 'e', 'q', '.', 's', 9, 0,
4371
136k
  /* 6770 */ 'c', 'm', 'p', '.', 's', 'e', 'q', '.', 's', 9, 0,
4372
136k
  /* 6781 */ 'c', '.', 'u', 'e', 'q', '.', 's', 9, 0,
4373
136k
  /* 6790 */ 'c', 'm', 'p', '.', 'u', 'e', 'q', '.', 's', 9, 0,
4374
136k
  /* 6801 */ 'c', 'm', 'p', '.', 's', 'u', 'e', 'q', '.', 's', 9, 0,
4375
136k
  /* 6813 */ 'a', 'b', 's', '.', 's', 9, 0,
4376
136k
  /* 6820 */ 'c', 'l', 'a', 's', 's', '.', 's', 9, 0,
4377
136k
  /* 6829 */ 'c', '.', 'n', 'g', 't', '.', 's', 9, 0,
4378
136k
  /* 6838 */ 'c', '.', 'l', 't', '.', 's', 9, 0,
4379
136k
  /* 6846 */ 'c', 'm', 'p', '.', 'l', 't', '.', 's', 9, 0,
4380
136k
  /* 6856 */ 'c', '.', 'o', 'l', 't', '.', 's', 9, 0,
4381
136k
  /* 6865 */ 'c', 'm', 'p', '.', 's', 'l', 't', '.', 's', 9, 0,
4382
136k
  /* 6876 */ 'c', '.', 'u', 'l', 't', '.', 's', 9, 0,
4383
136k
  /* 6885 */ 'c', 'm', 'p', '.', 'u', 'l', 't', '.', 's', 9, 0,
4384
136k
  /* 6896 */ 'c', 'm', 'p', '.', 's', 'u', 'l', 't', '.', 's', 9, 0,
4385
136k
  /* 6908 */ 'r', 'i', 'n', 't', '.', 's', 9, 0,
4386
136k
  /* 6916 */ 's', 'q', 'r', 't', '.', 's', 9, 0,
4387
136k
  /* 6924 */ 'm', 'o', 'v', 't', '.', 's', 9, 0,
4388
136k
  /* 6932 */ 'd', 'i', 'v', '.', 's', 9, 0,
4389
136k
  /* 6939 */ 'm', 'o', 'v', '.', 's', 9, 0,
4390
136k
  /* 6946 */ 't', 'r', 'u', 'n', 'c', '.', 'w', '.', 's', 9, 0,
4391
136k
  /* 6957 */ 'r', 'o', 'u', 'n', 'd', '.', 'w', '.', 's', 9, 0,
4392
136k
  /* 6968 */ 'c', 'e', 'i', 'l', '.', 'w', '.', 's', 9, 0,
4393
136k
  /* 6978 */ 'f', 'l', 'o', 'o', 'r', '.', 'w', '.', 's', 9, 0,
4394
136k
  /* 6989 */ 'c', 'v', 't', '.', 'w', '.', 's', 9, 0,
4395
136k
  /* 6998 */ 'm', 'a', 'x', '.', 's', 9, 0,
4396
136k
  /* 7005 */ 's', 'e', 'l', 'n', 'e', 'z', '.', 's', 9, 0,
4397
136k
  /* 7015 */ 's', 'e', 'l', 'e', 'q', 'z', '.', 's', 9, 0,
4398
136k
  /* 7025 */ 'm', 'o', 'v', 'z', '.', 's', 9, 0,
4399
136k
  /* 7033 */ 'j', 'a', 'l', 's', 9, 0,
4400
136k
  /* 7039 */ 'b', 'g', 'e', 'z', 'a', 'l', 's', 9, 0,
4401
136k
  /* 7048 */ 'b', 'l', 't', 'z', 'a', 'l', 's', 9, 0,
4402
136k
  /* 7057 */ 'j', 'a', 'l', 'r', 's', 9, 0,
4403
136k
  /* 7064 */ 'l', 'w', 'x', 's', 9, 0,
4404
136k
  /* 7070 */ 'b', 'c', '0', 't', 9, 0,
4405
136k
  /* 7076 */ 'b', 'c', '1', 't', 9, 0,
4406
136k
  /* 7082 */ 'b', 'c', '2', 't', 9, 0,
4407
136k
  /* 7088 */ 'b', 'c', '3', 't', 9, 0,
4408
136k
  /* 7094 */ 'w', 'a', 'i', 't', 9, 0,
4409
136k
  /* 7100 */ 's', 'l', 't', 9, 0,
4410
136k
  /* 7105 */ 't', 'l', 't', 9, 0,
4411
136k
  /* 7110 */ 'd', 'm', 'u', 'l', 't', 9, 0,
4412
136k
  /* 7117 */ 'n', 'o', 't', 9, 0,
4413
136k
  /* 7122 */ 'm', 'o', 'v', 't', 9, 0,
4414
136k
  /* 7128 */ 'l', 'b', 'u', 9, 0,
4415
136k
  /* 7133 */ 'd', 's', 'u', 'b', 'u', 9, 0,
4416
136k
  /* 7140 */ 'm', 's', 'u', 'b', 'u', 9, 0,
4417
136k
  /* 7147 */ 'b', 'a', 'd', 'd', 'u', 9, 0,
4418
136k
  /* 7154 */ 'd', 'a', 'd', 'd', 'u', 9, 0,
4419
136k
  /* 7161 */ 'm', 'a', 'd', 'd', 'u', 9, 0,
4420
136k
  /* 7168 */ 'd', 'm', 'o', 'd', 'u', 9, 0,
4421
136k
  /* 7175 */ 't', 'g', 'e', 'u', 9, 0,
4422
136k
  /* 7181 */ 'l', 'h', 'u', 9, 0,
4423
136k
  /* 7186 */ 'd', 'm', 'u', 'h', 'u', 9, 0,
4424
136k
  /* 7193 */ 'd', 'a', 'd', 'd', 'i', 'u', 9, 0,
4425
136k
  /* 7201 */ 't', 'g', 'e', 'i', 'u', 9, 0,
4426
136k
  /* 7208 */ 's', 'l', 't', 'i', 'u', 9, 0,
4427
136k
  /* 7215 */ 't', 'l', 't', 'i', 'u', 9, 0,
4428
136k
  /* 7222 */ 'v', '3', 'm', 'u', 'l', 'u', 9, 0,
4429
136k
  /* 7230 */ 'd', 'm', 'u', 'l', 'u', 9, 0,
4430
136k
  /* 7237 */ 'v', 'm', 'u', 'l', 'u', 9, 0,
4431
136k
  /* 7244 */ 's', 'l', 't', 'u', 9, 0,
4432
136k
  /* 7250 */ 't', 'l', 't', 'u', 9, 0,
4433
136k
  /* 7256 */ 'd', 'm', 'u', 'l', 't', 'u', 9, 0,
4434
136k
  /* 7264 */ 'd', 'd', 'i', 'v', 'u', 9, 0,
4435
136k
  /* 7271 */ 'l', 'w', 'u', 9, 0,
4436
136k
  /* 7276 */ 'a', 'n', 'd', '.', 'v', 9, 0,
4437
136k
  /* 7283 */ 'm', 'o', 'v', 'e', '.', 'v', 9, 0,
4438
136k
  /* 7291 */ 'b', 's', 'e', 'l', '.', 'v', 9, 0,
4439
136k
  /* 7299 */ 'n', 'o', 'r', '.', 'v', 9, 0,
4440
136k
  /* 7306 */ 'x', 'o', 'r', '.', 'v', 9, 0,
4441
136k
  /* 7313 */ 'b', 'z', '.', 'v', 9, 0,
4442
136k
  /* 7319 */ 'b', 'm', 'z', '.', 'v', 9, 0,
4443
136k
  /* 7326 */ 'b', 'n', 'z', '.', 'v', 9, 0,
4444
136k
  /* 7333 */ 'b', 'm', 'n', 'z', '.', 'v', 9, 0,
4445
136k
  /* 7341 */ 'd', 's', 'r', 'a', 'v', 9, 0,
4446
136k
  /* 7348 */ 'b', 'i', 't', 'r', 'e', 'v', 9, 0,
4447
136k
  /* 7356 */ 'd', 'd', 'i', 'v', 9, 0,
4448
136k
  /* 7362 */ 'd', 's', 'l', 'l', 'v', 9, 0,
4449
136k
  /* 7369 */ 'd', 's', 'r', 'l', 'v', 9, 0,
4450
136k
  /* 7376 */ 's', 'h', 'i', 'l', 'o', 'v', 9, 0,
4451
136k
  /* 7384 */ 'e', 'x', 't', 'p', 'd', 'p', 'v', 9, 0,
4452
136k
  /* 7393 */ 'e', 'x', 't', 'p', 'v', 9, 0,
4453
136k
  /* 7400 */ 'd', 'r', 'o', 't', 'r', 'v', 9, 0,
4454
136k
  /* 7408 */ 'i', 'n', 's', 'v', 9, 0,
4455
136k
  /* 7414 */ 'f', 'l', 'o', 'g', '2', '.', 'w', 9, 0,
4456
136k
  /* 7423 */ 'f', 'e', 'x', 'p', '2', '.', 'w', 9, 0,
4457
136k
  /* 7432 */ 'a', 'd', 'd', '_', 'a', '.', 'w', 9, 0,
4458
136k
  /* 7441 */ 'f', 'm', 'i', 'n', '_', 'a', '.', 'w', 9, 0,
4459
136k
  /* 7451 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'w', 9, 0,
4460
136k
  /* 7461 */ 'f', 'm', 'a', 'x', '_', 'a', '.', 'w', 9, 0,
4461
136k
  /* 7471 */ 's', 'r', 'a', '.', 'w', 9, 0,
4462
136k
  /* 7478 */ 'f', 's', 'u', 'b', '.', 'w', 9, 0,
4463
136k
  /* 7486 */ 'f', 'm', 's', 'u', 'b', '.', 'w', 9, 0,
4464
136k
  /* 7495 */ 'n', 'l', 'o', 'c', '.', 'w', 9, 0,
4465
136k
  /* 7503 */ 'n', 'l', 'z', 'c', '.', 'w', 9, 0,
4466
136k
  /* 7511 */ 'c', 'v', 't', '.', 'd', '.', 'w', 9, 0,
4467
136k
  /* 7520 */ 'f', 'a', 'd', 'd', '.', 'w', 9, 0,
4468
136k
  /* 7528 */ 'f', 'm', 'a', 'd', 'd', '.', 'w', 9, 0,
4469
136k
  /* 7537 */ 's', 'l', 'd', '.', 'w', 9, 0,
4470
136k
  /* 7544 */ 'p', 'c', 'k', 'o', 'd', '.', 'w', 9, 0,
4471
136k
  /* 7553 */ 'i', 'l', 'v', 'o', 'd', '.', 'w', 9, 0,
4472
136k
  /* 7562 */ 'f', 'c', 'l', 'e', '.', 'w', 9, 0,
4473
136k
  /* 7570 */ 'f', 's', 'l', 'e', '.', 'w', 9, 0,
4474
136k
  /* 7578 */ 'f', 'c', 'u', 'l', 'e', '.', 'w', 9, 0,
4475
136k
  /* 7587 */ 'f', 's', 'u', 'l', 'e', '.', 'w', 9, 0,
4476
136k
  /* 7596 */ 'f', 'c', 'n', 'e', '.', 'w', 9, 0,
4477
136k
  /* 7604 */ 'f', 's', 'n', 'e', '.', 'w', 9, 0,
4478
136k
  /* 7612 */ 'f', 'c', 'u', 'n', 'e', '.', 'w', 9, 0,
4479
136k
  /* 7621 */ 'f', 's', 'u', 'n', 'e', '.', 'w', 9, 0,
4480
136k
  /* 7630 */ 'i', 'n', 's', 'v', 'e', '.', 'w', 9, 0,
4481
136k
  /* 7639 */ 'f', 'c', 'a', 'f', '.', 'w', 9, 0,
4482
136k
  /* 7647 */ 'f', 's', 'a', 'f', '.', 'w', 9, 0,
4483
136k
  /* 7655 */ 'v', 's', 'h', 'f', '.', 'w', 9, 0,
4484
136k
  /* 7663 */ 'b', 'n', 'e', 'g', '.', 'w', 9, 0,
4485
136k
  /* 7671 */ 'p', 'r', 'e', 'c', 'r', '_', 's', 'r', 'a', '.', 'p', 'h', '.', 'w', 9, 0,
4486
136k
  /* 7687 */ 'p', 'r', 'e', 'c', 'r', 'q', '.', 'p', 'h', '.', 'w', 9, 0,
4487
136k
  /* 7700 */ 'p', 'r', 'e', 'c', 'r', '_', 's', 'r', 'a', '_', 'r', '.', 'p', 'h', '.', 'w', 9, 0,
4488
136k
  /* 7718 */ 'p', 'r', 'e', 'c', 'r', 'q', '_', 'r', 's', '.', 'p', 'h', '.', 'w', 9, 0,
4489
136k
  /* 7734 */ 's', 'u', 'b', 'q', 'h', '.', 'w', 9, 0,
4490
136k
  /* 7743 */ 'a', 'd', 'd', 'q', 'h', '.', 'w', 9, 0,
4491
136k
  /* 7752 */ 's', 'r', 'a', 'i', '.', 'w', 9, 0,
4492
136k
  /* 7760 */ 's', 'l', 'd', 'i', '.', 'w', 9, 0,
4493
136k
  /* 7768 */ 'b', 'n', 'e', 'g', 'i', '.', 'w', 9, 0,
4494
136k
  /* 7777 */ 's', 'l', 'l', 'i', '.', 'w', 9, 0,
4495
136k
  /* 7785 */ 's', 'r', 'l', 'i', '.', 'w', 9, 0,
4496
136k
  /* 7793 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'w', 9, 0,
4497
136k
  /* 7803 */ 'c', 'e', 'q', 'i', '.', 'w', 9, 0,
4498
136k
  /* 7811 */ 's', 'r', 'a', 'r', 'i', '.', 'w', 9, 0,
4499
136k
  /* 7820 */ 'b', 'c', 'l', 'r', 'i', '.', 'w', 9, 0,
4500
136k
  /* 7829 */ 's', 'r', 'l', 'r', 'i', '.', 'w', 9, 0,
4501
136k
  /* 7838 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'w', 9, 0,
4502
136k
  /* 7848 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'w', 9, 0,
4503
136k
  /* 7858 */ 'b', 's', 'e', 't', 'i', '.', 'w', 9, 0,
4504
136k
  /* 7867 */ 's', 'u', 'b', 'v', 'i', '.', 'w', 9, 0,
4505
136k
  /* 7876 */ 'a', 'd', 'd', 'v', 'i', '.', 'w', 9, 0,
4506
136k
  /* 7885 */ 'd', 'p', 'a', 'q', '_', 's', 'a', '.', 'l', '.', 'w', 9, 0,
4507
136k
  /* 7898 */ 'd', 'p', 's', 'q', '_', 's', 'a', '.', 'l', '.', 'w', 9, 0,
4508
136k
  /* 7911 */ 'f', 'i', 'l', 'l', '.', 'w', 9, 0,
4509
136k
  /* 7919 */ 's', 'l', 'l', '.', 'w', 9, 0,
4510
136k
  /* 7926 */ 'f', 'e', 'x', 'u', 'p', 'l', '.', 'w', 9, 0,
4511
136k
  /* 7936 */ 'f', 'f', 'q', 'l', '.', 'w', 9, 0,
4512
136k
  /* 7944 */ 's', 'r', 'l', '.', 'w', 9, 0,
4513
136k
  /* 7951 */ 'b', 'i', 'n', 's', 'l', '.', 'w', 9, 0,
4514
136k
  /* 7960 */ 'f', 'm', 'u', 'l', '.', 'w', 9, 0,
4515
136k
  /* 7968 */ 'i', 'l', 'v', 'l', '.', 'w', 9, 0,
4516
136k
  /* 7976 */ 'f', 'm', 'i', 'n', '.', 'w', 9, 0,
4517
136k
  /* 7984 */ 'f', 'c', 'u', 'n', '.', 'w', 9, 0,
4518
136k
  /* 7992 */ 'f', 's', 'u', 'n', '.', 'w', 9, 0,
4519
136k
  /* 8000 */ 'f', 'e', 'x', 'd', 'o', '.', 'w', 9, 0,
4520
136k
  /* 8009 */ 'f', 'r', 'c', 'p', '.', 'w', 9, 0,
4521
136k
  /* 8017 */ 'm', 's', 'u', 'b', '_', 'q', '.', 'w', 9, 0,
4522
136k
  /* 8027 */ 'm', 'a', 'd', 'd', '_', 'q', '.', 'w', 9, 0,
4523
136k
  /* 8037 */ 'm', 'u', 'l', '_', 'q', '.', 'w', 9, 0,
4524
136k
  /* 8046 */ 'm', 's', 'u', 'b', 'r', '_', 'q', '.', 'w', 9, 0,
4525
136k
  /* 8057 */ 'm', 'a', 'd', 'd', 'r', '_', 'q', '.', 'w', 9, 0,
4526
136k
  /* 8068 */ 'm', 'u', 'l', 'r', '_', 'q', '.', 'w', 9, 0,
4527
136k
  /* 8078 */ 'f', 'c', 'e', 'q', '.', 'w', 9, 0,
4528
136k
  /* 8086 */ 'f', 's', 'e', 'q', '.', 'w', 9, 0,
4529
136k
  /* 8094 */ 'f', 'c', 'u', 'e', 'q', '.', 'w', 9, 0,
4530
136k
  /* 8103 */ 'f', 's', 'u', 'e', 'q', '.', 'w', 9, 0,
4531
136k
  /* 8112 */ 'f', 't', 'q', '.', 'w', 9, 0,
4532
136k
  /* 8119 */ 's', 'h', 'r', 'a', '_', 'r', '.', 'w', 9, 0,
4533
136k
  /* 8129 */ 's', 'u', 'b', 'q', 'h', '_', 'r', '.', 'w', 9, 0,
4534
136k
  /* 8140 */ 'a', 'd', 'd', 'q', 'h', '_', 'r', '.', 'w', 9, 0,
4535
136k
  /* 8151 */ 'e', 'x', 't', 'r', '_', 'r', '.', 'w', 9, 0,
4536
136k
  /* 8161 */ 's', 'h', 'r', 'a', 'v', '_', 'r', '.', 'w', 9, 0,
4537
136k
  /* 8172 */ 'e', 'x', 't', 'r', 'v', '_', 'r', '.', 'w', 9, 0,
4538
136k
  /* 8183 */ 's', 'r', 'a', 'r', '.', 'w', 9, 0,
4539
136k
  /* 8191 */ 'b', 'c', 'l', 'r', '.', 'w', 9, 0,
4540
136k
  /* 8199 */ 's', 'r', 'l', 'r', '.', 'w', 9, 0,
4541
136k
  /* 8207 */ 'f', 'c', 'o', 'r', '.', 'w', 9, 0,
4542
136k
  /* 8215 */ 'f', 's', 'o', 'r', '.', 'w', 9, 0,
4543
136k
  /* 8223 */ 'f', 'e', 'x', 'u', 'p', 'r', '.', 'w', 9, 0,
4544
136k
  /* 8233 */ 'f', 'f', 'q', 'r', '.', 'w', 9, 0,
4545
136k
  /* 8241 */ 'b', 'i', 'n', 's', 'r', '.', 'w', 9, 0,
4546
136k
  /* 8250 */ 'e', 'x', 't', 'r', '.', 'w', 9, 0,
4547
136k
  /* 8258 */ 'i', 'l', 'v', 'r', '.', 'w', 9, 0,
4548
136k
  /* 8266 */ 'c', 'v', 't', '.', 's', '.', 'w', 9, 0,
4549
136k
  /* 8275 */ 'a', 's', 'u', 'b', '_', 's', '.', 'w', 9, 0,
4550
136k
  /* 8285 */ 'h', 's', 'u', 'b', '_', 's', '.', 'w', 9, 0,
4551
136k
  /* 8295 */ 'd', 'p', 's', 'u', 'b', '_', 's', '.', 'w', 9, 0,
4552
136k
  /* 8306 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 's', '.', 'w', 9, 0,
4553
136k
  /* 8318 */ 'h', 'a', 'd', 'd', '_', 's', '.', 'w', 9, 0,
4554
136k
  /* 8328 */ 'd', 'p', 'a', 'd', 'd', '_', 's', '.', 'w', 9, 0,
4555
136k
  /* 8339 */ 'm', 'o', 'd', '_', 's', '.', 'w', 9, 0,
4556
136k
  /* 8348 */ 'c', 'l', 'e', '_', 's', '.', 'w', 9, 0,
4557
136k
  /* 8357 */ 'a', 'v', 'e', '_', 's', '.', 'w', 9, 0,
4558
136k
  /* 8366 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'w', 9, 0,
4559
136k
  /* 8376 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'w', 9, 0,
4560
136k
  /* 8386 */ 'c', 'l', 't', 'i', '_', 's', '.', 'w', 9, 0,
4561
136k
  /* 8396 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'w', 9, 0,
4562
136k
  /* 8406 */ 's', 'h', 'l', 'l', '_', 's', '.', 'w', 9, 0,
4563
136k
  /* 8416 */ 'm', 'i', 'n', '_', 's', '.', 'w', 9, 0,
4564
136k
  /* 8425 */ 'd', 'o', 't', 'p', '_', 's', '.', 'w', 9, 0,
4565
136k
  /* 8435 */ 's', 'u', 'b', 'q', '_', 's', '.', 'w', 9, 0,
4566
136k
  /* 8445 */ 'a', 'd', 'd', 'q', '_', 's', '.', 'w', 9, 0,
4567
136k
  /* 8455 */ 'm', 'u', 'l', 'q', '_', 's', '.', 'w', 9, 0,
4568
136k
  /* 8465 */ 'a', 'b', 's', 'q', '_', 's', '.', 'w', 9, 0,
4569
136k
  /* 8475 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'w', 9, 0,
4570
136k
  /* 8485 */ 's', 'u', 'b', 's', '_', 's', '.', 'w', 9, 0,
4571
136k
  /* 8495 */ 'a', 'd', 'd', 's', '_', 's', '.', 'w', 9, 0,
4572
136k
  /* 8505 */ 's', 'a', 't', '_', 's', '.', 'w', 9, 0,
4573
136k
  /* 8514 */ 'c', 'l', 't', '_', 's', '.', 'w', 9, 0,
4574
136k
  /* 8523 */ 'f', 'f', 'i', 'n', 't', '_', 's', '.', 'w', 9, 0,
4575
136k
  /* 8534 */ 'f', 't', 'i', 'n', 't', '_', 's', '.', 'w', 9, 0,
4576
136k
  /* 8545 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'w', 9, 0,
4577
136k
  /* 8557 */ 'd', 'i', 'v', '_', 's', '.', 'w', 9, 0,
4578
136k
  /* 8566 */ 's', 'h', 'l', 'l', 'v', '_', 's', '.', 'w', 9, 0,
4579
136k
  /* 8577 */ 'm', 'a', 'x', '_', 's', '.', 'w', 9, 0,
4580
136k
  /* 8586 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'w', 9, 0,
4581
136k
  /* 8596 */ 'm', 'u', 'l', 'q', '_', 'r', 's', '.', 'w', 9, 0,
4582
136k
  /* 8607 */ 'e', 'x', 't', 'r', '_', 'r', 's', '.', 'w', 9, 0,
4583
136k
  /* 8618 */ 'e', 'x', 't', 'r', 'v', '_', 'r', 's', '.', 'w', 9, 0,
4584
136k
  /* 8630 */ 'f', 'c', 'l', 'a', 's', 's', '.', 'w', 9, 0,
4585
136k
  /* 8640 */ 's', 'p', 'l', 'a', 't', '.', 'w', 9, 0,
4586
136k
  /* 8649 */ 'b', 's', 'e', 't', '.', 'w', 9, 0,
4587
136k
  /* 8657 */ 'f', 'c', 'l', 't', '.', 'w', 9, 0,
4588
136k
  /* 8665 */ 'f', 's', 'l', 't', '.', 'w', 9, 0,
4589
136k
  /* 8673 */ 'f', 'c', 'u', 'l', 't', '.', 'w', 9, 0,
4590
136k
  /* 8682 */ 'f', 's', 'u', 'l', 't', '.', 'w', 9, 0,
4591
136k
  /* 8691 */ 'p', 'c', 'n', 't', '.', 'w', 9, 0,
4592
136k
  /* 8699 */ 'f', 'r', 'i', 'n', 't', '.', 'w', 9, 0,
4593
136k
  /* 8708 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'w', 9, 0,
4594
136k
  /* 8718 */ 'f', 's', 'q', 'r', 't', '.', 'w', 9, 0,
4595
136k
  /* 8727 */ 'f', 'r', 's', 'q', 'r', 't', '.', 'w', 9, 0,
4596
136k
  /* 8737 */ 's', 't', '.', 'w', 9, 0,
4597
136k
  /* 8743 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'w', 9, 0,
4598
136k
  /* 8753 */ 'h', 's', 'u', 'b', '_', 'u', '.', 'w', 9, 0,
4599
136k
  /* 8763 */ 'd', 'p', 's', 'u', 'b', '_', 'u', '.', 'w', 9, 0,
4600
136k
  /* 8774 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 'u', '.', 'w', 9, 0,
4601
136k
  /* 8786 */ 'h', 'a', 'd', 'd', '_', 'u', '.', 'w', 9, 0,
4602
136k
  /* 8796 */ 'd', 'p', 'a', 'd', 'd', '_', 'u', '.', 'w', 9, 0,
4603
136k
  /* 8807 */ 'm', 'o', 'd', '_', 'u', '.', 'w', 9, 0,
4604
136k
  /* 8816 */ 'c', 'l', 'e', '_', 'u', '.', 'w', 9, 0,
4605
136k
  /* 8825 */ 'a', 'v', 'e', '_', 'u', '.', 'w', 9, 0,
4606
136k
  /* 8834 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'w', 9, 0,
4607
136k
  /* 8844 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'w', 9, 0,
4608
136k
  /* 8854 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'w', 9, 0,
4609
136k
  /* 8864 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'w', 9, 0,
4610
136k
  /* 8874 */ 'm', 'i', 'n', '_', 'u', '.', 'w', 9, 0,
4611
136k
  /* 8883 */ 'd', 'o', 't', 'p', '_', 'u', '.', 'w', 9, 0,
4612
136k
  /* 8893 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'w', 9, 0,
4613
136k
  /* 8903 */ 's', 'u', 'b', 's', '_', 'u', '.', 'w', 9, 0,
4614
136k
  /* 8913 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'w', 9, 0,
4615
136k
  /* 8923 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'w', 9, 0,
4616
136k
  /* 8935 */ 's', 'a', 't', '_', 'u', '.', 'w', 9, 0,
4617
136k
  /* 8944 */ 'c', 'l', 't', '_', 'u', '.', 'w', 9, 0,
4618
136k
  /* 8953 */ 'f', 'f', 'i', 'n', 't', '_', 'u', '.', 'w', 9, 0,
4619
136k
  /* 8964 */ 'f', 't', 'i', 'n', 't', '_', 'u', '.', 'w', 9, 0,
4620
136k
  /* 8975 */ 'd', 'i', 'v', '_', 'u', '.', 'w', 9, 0,
4621
136k
  /* 8984 */ 'm', 'a', 'x', '_', 'u', '.', 'w', 9, 0,
4622
136k
  /* 8993 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'w', 9, 0,
4623
136k
  /* 9003 */ 'm', 's', 'u', 'b', 'v', '.', 'w', 9, 0,
4624
136k
  /* 9012 */ 'm', 'a', 'd', 'd', 'v', '.', 'w', 9, 0,
4625
136k
  /* 9021 */ 'p', 'c', 'k', 'e', 'v', '.', 'w', 9, 0,
4626
136k
  /* 9030 */ 'i', 'l', 'v', 'e', 'v', '.', 'w', 9, 0,
4627
136k
  /* 9039 */ 'f', 'd', 'i', 'v', '.', 'w', 9, 0,
4628
136k
  /* 9047 */ 'm', 'u', 'l', 'v', '.', 'w', 9, 0,
4629
136k
  /* 9055 */ 'e', 'x', 't', 'r', 'v', '.', 'w', 9, 0,
4630
136k
  /* 9064 */ 'f', 'm', 'a', 'x', '.', 'w', 9, 0,
4631
136k
  /* 9072 */ 'b', 'z', '.', 'w', 9, 0,
4632
136k
  /* 9078 */ 'b', 'n', 'z', '.', 'w', 9, 0,
4633
136k
  /* 9085 */ 'l', 'w', 9, 0,
4634
136k
  /* 9089 */ 's', 'w', 9, 0,
4635
136k
  /* 9093 */ 'l', 'h', 'x', 9, 0,
4636
136k
  /* 9098 */ 'j', 'a', 'l', 'x', 9, 0,
4637
136k
  /* 9104 */ 'l', 'b', 'u', 'x', 9, 0,
4638
136k
  /* 9110 */ 'l', 'w', 'x', 9, 0,
4639
136k
  /* 9115 */ 'b', 'g', 'e', 'z', 9, 0,
4640
136k
  /* 9121 */ 'b', 'l', 'e', 'z', 9, 0,
4641
136k
  /* 9127 */ 'b', 'n', 'e', 'z', 9, 0,
4642
136k
  /* 9133 */ 's', 'e', 'l', 'n', 'e', 'z', 9, 0,
4643
136k
  /* 9141 */ 'b', 't', 'n', 'e', 'z', 9, 0,
4644
136k
  /* 9148 */ 'd', 'c', 'l', 'z', 9, 0,
4645
136k
  /* 9154 */ 'b', 'e', 'q', 'z', 9, 0,
4646
136k
  /* 9160 */ 's', 'e', 'l', 'e', 'q', 'z', 9, 0,
4647
136k
  /* 9168 */ 'b', 't', 'e', 'q', 'z', 9, 0,
4648
136k
  /* 9175 */ 'b', 'g', 't', 'z', 9, 0,
4649
136k
  /* 9181 */ 'b', 'l', 't', 'z', 9, 0,
4650
136k
  /* 9187 */ 'm', 'o', 'v', 'z', 9, 0,
4651
136k
  /* 9193 */ 's', 'e', 'b', 9, 32, 0,
4652
136k
  /* 9199 */ 'j', 'r', 'c', 9, 32, 0,
4653
136k
  /* 9205 */ 's', 'e', 'h', 9, 32, 0,
4654
136k
  /* 9211 */ 'd', 'd', 'i', 'v', 'u', 9, '$', 'z', 'e', 'r', 'o', ',', 32, 0,
4655
136k
  /* 9225 */ 'd', 'd', 'i', 'v', 9, '$', 'z', 'e', 'r', 'o', ',', 32, 0,
4656
136k
  /* 9238 */ 'a', 'd', 'd', 'i', 'u', 9, '$', 's', 'p', ',', 32, 0,
4657
136k
  /* 9250 */ 'c', 'i', 'n', 's', '3', '2', 32, 0,
4658
136k
  /* 9258 */ 'e', 'x', 't', 's', '3', '2', 32, 0,
4659
136k
  /* 9266 */ 's', 'y', 'n', 'c', 32, 0,
4660
136k
  /* 9272 */ 9, '.', 'w', 'o', 'r', 'd', 32, 0,
4661
136k
  /* 9280 */ 'd', 'i', 'n', 's', 'm', 32, 0,
4662
136k
  /* 9287 */ 'd', 'e', 'x', 't', 'm', 32, 0,
4663
136k
  /* 9294 */ 'c', 'i', 'n', 's', 32, 0,
4664
136k
  /* 9300 */ 'd', 'i', 'n', 's', 32, 0,
4665
136k
  /* 9306 */ 'e', 'x', 't', 's', 32, 0,
4666
136k
  /* 9312 */ 'd', 'e', 'x', 't', 32, 0,
4667
136k
  /* 9318 */ 'd', 'i', 'n', 's', 'u', 32, 0,
4668
136k
  /* 9325 */ 'd', 'e', 'x', 't', 'u', 32, 0,
4669
136k
  /* 9332 */ 'b', 'c', '1', 'n', 'e', 'z', 32, 0,
4670
136k
  /* 9340 */ 'b', 'c', '2', 'n', 'e', 'z', 32, 0,
4671
136k
  /* 9348 */ 'b', 'c', '1', 'e', 'q', 'z', 32, 0,
4672
136k
  /* 9356 */ 'b', 'c', '2', 'e', 'q', 'z', 32, 0,
4673
136k
  /* 9364 */ 'c', '.', 0,
4674
136k
  /* 9367 */ 'b', 'r', 'e', 'a', 'k', 32, '0', 0,
4675
136k
  /* 9375 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
4676
136k
  /* 9388 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
4677
136k
  /* 9395 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
4678
136k
  /* 9405 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
4679
136k
  /* 9420 */ 'j', 'r', 'c', 9, 32, '$', 'r', 'a', 0,
4680
136k
  /* 9429 */ 'j', 'r', 9, 32, '$', 'r', 'a', 0,
4681
136k
  /* 9437 */ 'e', 'h', 'b', 0,
4682
136k
  /* 9441 */ 'p', 'a', 'u', 's', 'e', 0,
4683
136k
  /* 9447 */ 't', 'l', 'b', 'w', 'i', 0,
4684
136k
  /* 9453 */ 'f', 'o', 'o', 0,
4685
136k
  /* 9457 */ 't', 'l', 'b', 'p', 0,
4686
136k
  /* 9462 */ 's', 's', 'n', 'o', 'p', 0,
4687
136k
  /* 9468 */ 't', 'l', 'b', 'r', 0,
4688
136k
  /* 9473 */ 't', 'l', 'b', 'w', 'r', 0,
4689
136k
  /* 9479 */ 'd', 'e', 'r', 'e', 't', 0,
4690
136k
  /* 9485 */ 'w', 'a', 'i', 't', 0,
4691
136k
  };
4692
136k
#endif
4693
4694
  // Emit the opcode for the instruction.
4695
136k
  uint64_t Bits1 = OpInfo[MCInst_getOpcode(MI)];
4696
136k
  uint64_t Bits2 = OpInfo2[MCInst_getOpcode(MI)];
4697
136k
  uint64_t Bits = (Bits2 << 32) | Bits1;
4698
  // assert(Bits != 0 && "Cannot print this instruction.");
4699
136k
#ifndef CAPSTONE_DIET
4700
136k
  SStream_concat0(O, AsmStrs+(Bits & 16383)-1);
4701
136k
#endif
4702
4703
4704
  // Fragment 0 encoded into 4 bits for 11 unique commands.
4705
  //printf("Frag-0: %"PRIu64"\n", (Bits >> 14) & 15);
4706
136k
  switch ((Bits >> 14) & 15) {
4707
0
  default: // llvm_unreachable("Invalid command number.");
4708
113
  case 0:
4709
    // DBG_VALUE, BUNDLE, LIFETIME_START, LIFETIME_END, Break16, CONSTPOOL_EN...
4710
113
    return;
4711
0
    break;
4712
127k
  case 1:
4713
    // ABSQ_S_PH, ABSQ_S_QB, ABSQ_S_W, ADD, ADDIUPC, ADDIUPC_MM, ADDIUR1SP_MM...
4714
127k
    printOperand(MI, 0, O); 
4715
127k
    break;
4716
3.15k
  case 2:
4717
    // ADDIUS5_MM, CTC1, CTC1_MM, DAHI, DATI, DMTC1, MTC1, MTC1_MM, MTHI_DSP,...
4718
3.15k
    printOperand(MI, 1, O); 
4719
3.15k
    SStream_concat0(O, ", "); 
4720
3.15k
    break;
4721
1.26k
  case 3:
4722
    // AND16_MM, MTHC1_D32, MTHC1_D64, MTHC1_MM, OR16_MM, XOR16_MM
4723
1.26k
    printOperand(MI, 2, O); 
4724
1.26k
    SStream_concat0(O, ", "); 
4725
1.26k
    break;
4726
129
  case 4:
4727
    // BREAK16_MM, SDBBP16_MM
4728
129
    printUnsignedImm8(MI, 0, O); 
4729
129
    return;
4730
0
    break;
4731
1.13k
  case 5:
4732
    // CACHE, CACHE_MM, CACHE_R6, PREF, PREF_MM, PREF_R6
4733
1.13k
    printUnsignedImm(MI, 2, O); 
4734
1.13k
    SStream_concat0(O, ", "); 
4735
1.13k
    printMemOperand(MI, 0, O); 
4736
1.13k
    return;
4737
0
    break;
4738
0
  case 6:
4739
    // FCMP_D32, FCMP_D32_MM, FCMP_D64, FCMP_S32, FCMP_S32_MM
4740
0
    printFCCOperand(MI, 2, O); 
4741
0
    break;
4742
2.31k
  case 7:
4743
    // LWM16_MM, LWM32_MM, LWM_MM, MOVEP_MM, SWM16_MM, SWM32_MM, SWM_MM
4744
2.31k
    printRegisterList(MI, 0, O); 
4745
2.31k
    SStream_concat0(O, ", "); 
4746
2.31k
    break;
4747
499
  case 8:
4748
    // LWP_MM, SWP_MM
4749
499
    printRegisterPair(MI, 0, O); 
4750
499
    SStream_concat0(O, ", "); 
4751
499
    printMemOperand(MI, 2, O); 
4752
499
    return;
4753
0
    break;
4754
295
  case 9:
4755
    // SYNCI
4756
295
    printMemOperand(MI, 0, O); 
4757
295
    return;
4758
0
    break;
4759
0
  case 10:
4760
    // SelBeqZ, SelBneZ, SelTBteqZCmp, SelTBteqZCmpi, SelTBteqZSlt, SelTBteqZ...
4761
0
    printOperand(MI, 3, O); 
4762
0
    break;
4763
136k
  }
4764
4765
4766
  // Fragment 1 encoded into 5 bits for 17 unique commands.
4767
  //printf("Frag-1: %"PRIu64"\n", (Bits >> 18) & 31);
4768
134k
  switch ((Bits >> 18) & 31) {
4769
0
  default: // llvm_unreachable("Invalid command number.");
4770
115k
  case 0:
4771
    // ABSQ_S_PH, ABSQ_S_QB, ABSQ_S_W, ADD, ADDIUPC, ADDIUPC_MM, ADDIUR1SP_MM...
4772
115k
    SStream_concat0(O, ", "); 
4773
115k
    break;
4774
1.24k
  case 1:
4775
    // ADDIUS5_MM, DAHI, DATI, MOVEP_MM, MultRxRyRz16, MultuRxRyRz16, SltCCRx...
4776
1.24k
    printOperand(MI, 2, O); 
4777
1.24k
    break;
4778
11.9k
  case 2:
4779
    // ADDIUSP_MM, AddiuSpImmX16, B16_MM, BAL, BALC, BC, BPOSGE32, B_MM_Pseud...
4780
11.9k
    return;
4781
0
    break;
4782
1.05k
  case 3:
4783
    // AND16_MM, OR16_MM, XOR16_MM
4784
1.05k
    printOperand(MI, 1, O); 
4785
1.05k
    return;
4786
0
    break;
4787
0
  case 4:
4788
    // AddiuRxPcImmX16
4789
0
    SStream_concat0(O, ", $pc, "); 
4790
0
    printOperand(MI, 1, O); 
4791
0
    return;
4792
0
    break;
4793
0
  case 5:
4794
    // AddiuSpImm16, Bimm16
4795
0
    SStream_concat0(O, " # 16 bit inst"); 
4796
0
    return;
4797
0
    break;
4798
0
  case 6:
4799
    // Bteqz16, Btnez16
4800
0
    SStream_concat0(O, "  # 16 bit inst"); 
4801
0
    return;
4802
0
    break;
4803
655
  case 7:
4804
    // CTC1, CTC1_MM, DMTC1, MTC1, MTC1_MM, MTHC1_D32, MTHC1_D64, MTHC1_MM, M...
4805
655
    printOperand(MI, 0, O); 
4806
655
    return;
4807
0
    break;
4808
0
  case 8:
4809
    // FCMP_D32, FCMP_D32_MM, FCMP_D64
4810
0
    SStream_concat0(O, ".d\t"); 
4811
0
    printOperand(MI, 0, O); 
4812
0
    SStream_concat0(O, ", "); 
4813
0
    printOperand(MI, 1, O); 
4814
0
    return;
4815
0
    break;
4816
0
  case 9:
4817
    // FCMP_S32, FCMP_S32_MM
4818
0
    SStream_concat0(O, ".s\t"); 
4819
0
    printOperand(MI, 0, O); 
4820
0
    SStream_concat0(O, ", "); 
4821
0
    printOperand(MI, 1, O); 
4822
0
    return;
4823
0
    break;
4824
452
  case 10:
4825
    // INSERT_B, INSERT_D, INSERT_H, INSERT_W, INSVE_B, INSVE_D, INSVE_H, INS...
4826
452
    SStream_concat0(O, "["); 
4827
452
    break;
4828
0
  case 11:
4829
    // Jal16
4830
0
    SStream_concat0(O, "\n\tnop"); 
4831
0
    return;
4832
0
    break;
4833
0
  case 12:
4834
    // JalB16
4835
0
    SStream_concat0(O, "\t# branch\n\tnop"); 
4836
0
    return;
4837
0
    break;
4838
1.78k
  case 13:
4839
    // LWM16_MM, LWM32_MM, LWM_MM, SWM16_MM, SWM32_MM, SWM_MM
4840
1.78k
    printMemOperand(MI, 1, O); 
4841
1.78k
    return;
4842
0
    break;
4843
0
  case 14:
4844
    // LwConstant32
4845
0
    SStream_concat0(O, ", 1f\n\tb\t2f\n\t.align\t2\n1: \t.word\t"); 
4846
0
    printOperand(MI, 1, O); 
4847
0
    SStream_concat0(O, "\n2:"); 
4848
0
    return;
4849
0
    break;
4850
2.00k
  case 15:
4851
    // SC, SCD, SCD_R6, SC_MM, SC_R6
4852
2.00k
    printMemOperand(MI, 2, O); 
4853
2.00k
    return;
4854
0
    break;
4855
0
  case 16:
4856
    // SelBeqZ, SelBneZ
4857
0
    SStream_concat0(O, ", .+4\n\t\n\tmove "); 
4858
0
    printOperand(MI, 1, O); 
4859
0
    SStream_concat0(O, ", "); 
4860
0
    printOperand(MI, 2, O); 
4861
0
    return;
4862
0
    break;
4863
134k
  }
4864
4865
4866
  // Fragment 2 encoded into 4 bits for 12 unique commands.
4867
  //printf("Frag-2: %"PRIu64"\n", (Bits >> 23) & 15);
4868
116k
  switch ((Bits >> 23) & 15) {
4869
0
  default: // llvm_unreachable("Invalid command number.");
4870
73.6k
  case 0:
4871
    // ABSQ_S_PH, ABSQ_S_QB, ABSQ_S_W, ADD, ADDIUPC, ADDIUPC_MM, ADDIUR1SP_MM...
4872
73.6k
    printOperand(MI, 1, O); 
4873
73.6k
    break;
4874
712
  case 1:
4875
    // ADDIUS5_MM, DAHI, DATI
4876
712
    return;
4877
0
    break;
4878
4.98k
  case 2:
4879
    // AddiuRxRxImm16, AddiuRxRxImmX16, AndRxRxRy16, BINSLI_B, BINSLI_D, BINS...
4880
4.98k
    printOperand(MI, 2, O); 
4881
4.98k
    break;
4882
0
  case 3:
4883
    // AddiuRxRyOffMemX16, LEA_ADDiu, LEA_ADDiu64, LEA_ADDiu_MM
4884
0
    printMemOperandEA(MI, 1, O); 
4885
0
    return;
4886
0
    break;
4887
3.86k
  case 4:
4888
    // BBIT0, BBIT032, BBIT1, BBIT132, LUi, LUi64, LUi_MM, LoadAddr32Imm, Loa...
4889
3.86k
    printUnsignedImm(MI, 1, O); 
4890
3.86k
    break;
4891
324
  case 5:
4892
    // INSERT_B, INSERT_D, INSERT_H, INSERT_W
4893
324
    printUnsignedImm(MI, 3, O); 
4894
324
    SStream_concat0(O, "], "); 
4895
324
    printOperand(MI, 2, O); 
4896
324
    return;
4897
0
    break;
4898
128
  case 6:
4899
    // INSVE_B, INSVE_D, INSVE_H, INSVE_W
4900
128
    printUnsignedImm(MI, 2, O); 
4901
128
    SStream_concat0(O, "], "); 
4902
128
    printOperand(MI, 3, O); 
4903
128
    SStream_concat0(O, "["); 
4904
128
    printUnsignedImm(MI, 4, O); 
4905
128
    SStream_concat0(O, "]"); 
4906
128
    return;
4907
0
    break;
4908
32.5k
  case 7:
4909
    // LB, LB64, LBU16_MM, LB_MM, LBu, LBu64, LBu_MM, LD, LDC1, LDC164, LDC1_...
4910
32.5k
    printMemOperand(MI, 1, O); 
4911
32.5k
    return;
4912
0
    break;
4913
535
  case 8:
4914
    // MOVEP_MM
4915
535
    SStream_concat0(O, ", "); 
4916
535
    printOperand(MI, 3, O); 
4917
535
    return;
4918
0
    break;
4919
0
  case 9:
4920
    // MultRxRyRz16, MultuRxRyRz16
4921
0
    SStream_concat0(O, "\n\tmflo\t"); 
4922
0
    printOperand(MI, 0, O); 
4923
0
    return;
4924
0
    break;
4925
0
  case 10:
4926
    // SelTBteqZCmp, SelTBteqZCmpi, SelTBteqZSlt, SelTBteqZSlti, SelTBteqZSlt...
4927
0
    printOperand(MI, 4, O); 
4928
0
    break;
4929
0
  case 11:
4930
    // SltCCRxRy16, SltiCCRxImmX16, SltiuCCRxImmX16, SltuCCRxRy16, SltuRxRyRz...
4931
0
    SStream_concat0(O, "\n\tmove\t"); 
4932
0
    printOperand(MI, 0, O); 
4933
0
    SStream_concat0(O, ", $t8"); 
4934
0
    return;
4935
0
    break;
4936
116k
  }
4937
4938
4939
  // Fragment 3 encoded into 4 bits for 15 unique commands.
4940
  //printf("Frag-3: %"PRIu64"\n", (Bits >> 27) & 15);
4941
82.4k
  switch ((Bits >> 27) & 15) {
4942
0
  default: // llvm_unreachable("Invalid command number.");
4943
25.2k
  case 0:
4944
    // ABSQ_S_PH, ABSQ_S_QB, ABSQ_S_W, ADDIUPC, ADDIUPC_MM, ADDIUR1SP_MM, ALU...
4945
25.2k
    return;
4946
0
    break;
4947
52.9k
  case 1:
4948
    // ADD, ADDIUR2_MM, ADDQH_PH, ADDQH_R_PH, ADDQH_R_W, ADDQH_W, ADDQ_PH, AD...
4949
52.9k
    SStream_concat0(O, ", "); 
4950
52.9k
    break;
4951
0
  case 2:
4952
    // AddiuRxRxImm16, LwRxPcTcp16
4953
0
    SStream_concat0(O, "\t# 16 bit inst"); 
4954
0
    return;
4955
0
    break;
4956
0
  case 3:
4957
    // BeqzRxImm16, BnezRxImm16
4958
0
    SStream_concat0(O, "  # 16 bit inst"); 
4959
0
    return;
4960
0
    break;
4961
0
  case 4:
4962
    // BteqzT8CmpX16, BteqzT8CmpiX16, BteqzT8SltX16, BteqzT8SltiX16, BteqzT8S...
4963
0
    SStream_concat0(O, "\n\tbteqz\t"); 
4964
0
    printOperand(MI, 2, O); 
4965
0
    return;
4966
0
    break;
4967
0
  case 5:
4968
    // BtnezT8CmpX16, BtnezT8CmpiX16, BtnezT8SltX16, BtnezT8SltiX16, BtnezT8S...
4969
0
    SStream_concat0(O, "\n\tbtnez\t"); 
4970
0
    printOperand(MI, 2, O); 
4971
0
    return;
4972
0
    break;
4973
2.43k
  case 6:
4974
    // COPY_S_B, COPY_S_D, COPY_S_H, COPY_S_W, COPY_U_B, COPY_U_D, COPY_U_H, ...
4975
2.43k
    SStream_concat0(O, "["); 
4976
2.43k
    break;
4977
0
  case 7:
4978
    // CmpiRxImm16, LiRxImm16, SltiRxImm16, SltiuRxImm16
4979
0
    SStream_concat0(O, " \t# 16 bit inst"); 
4980
0
    return;
4981
0
    break;
4982
0
  case 8:
4983
    // DSLL64_32
4984
0
    SStream_concat0(O, ", 32"); 
4985
0
    return;
4986
0
    break;
4987
0
  case 9:
4988
    // GotPrologue16
4989
0
    SStream_concat0(O, "\n\taddiu\t"); 
4990
0
    printOperand(MI, 1, O); 
4991
0
    SStream_concat0(O, ", $pc, "); 
4992
0
    printOperand(MI, 3, O); 
4993
0
    SStream_concat0(O, "\n "); 
4994
0
    return;
4995
0
    break;
4996
1.84k
  case 10:
4997
    // LBUX, LDXC1, LDXC164, LHX, LUXC1, LUXC164, LUXC1_MM, LWX, LWXC1, LWXC1...
4998
1.84k
    SStream_concat0(O, "("); 
4999
1.84k
    printOperand(MI, 1, O); 
5000
1.84k
    SStream_concat0(O, ")"); 
5001
1.84k
    return;
5002
0
    break;
5003
0
  case 11:
5004
    // LwRxSpImmX16, SwRxSpImmX16
5005
0
    SStream_concat0(O, " ( "); 
5006
0
    printOperand(MI, 1, O); 
5007
0
    SStream_concat0(O, " ); "); 
5008
0
    return;
5009
0
    break;
5010
0
  case 12:
5011
    // SLL64_32, SLL64_64
5012
0
    SStream_concat0(O, ", 0"); 
5013
0
    return;
5014
0
    break;
5015
0
  case 13:
5016
    // SelTBteqZCmp, SelTBteqZCmpi, SelTBteqZSlt, SelTBteqZSlti, SelTBteqZSlt...
5017
0
    SStream_concat0(O, "\n\tbteqz\t.+4\n\tmove "); 
5018
0
    printOperand(MI, 1, O); 
5019
0
    SStream_concat0(O, ", "); 
5020
0
    printOperand(MI, 2, O); 
5021
0
    return;
5022
0
    break;
5023
0
  case 14:
5024
    // SelTBtneZCmp, SelTBtneZCmpi, SelTBtneZSlt, SelTBtneZSlti, SelTBtneZSlt...
5025
0
    SStream_concat0(O, "\n\tbtnez\t.+4\n\tmove "); 
5026
0
    printOperand(MI, 1, O); 
5027
0
    SStream_concat0(O, ", "); 
5028
0
    printOperand(MI, 2, O); 
5029
0
    return;
5030
0
    break;
5031
82.4k
  }
5032
5033
5034
  // Fragment 4 encoded into 3 bits for 5 unique commands.
5035
  //printf("Frag-4: %"PRIu64"\n", (Bits >> 31) & 7);
5036
55.3k
  switch ((Bits >> 31) & 7) {
5037
0
  default: // llvm_unreachable("Invalid command number.");
5038
35.4k
  case 0:
5039
    // ADD, ADDIUR2_MM, ADDQH_PH, ADDQH_R_PH, ADDQH_R_W, ADDQH_W, ADDQ_PH, AD...
5040
35.4k
    printOperand(MI, 2, O); 
5041
35.4k
    break;
5042
2.11k
  case 1:
5043
    // ADDVI_B, ADDVI_D, ADDVI_H, ADDVI_W, ANDI_B, BCLRI_B, BCLRI_D, BCLRI_H,...
5044
2.11k
    printUnsignedImm8(MI, 2, O); 
5045
2.11k
    break;
5046
14.6k
  case 2:
5047
    // ANDi, ANDi64, ANDi_MM, APPEND, BALIGN, CINS, CINS32, DEXT, DEXTM, DEXT...
5048
14.6k
    printUnsignedImm(MI, 2, O); 
5049
14.6k
    break;
5050
1.61k
  case 3:
5051
    // BINSLI_B, BINSLI_D, BINSLI_H, BINSLI_W, BINSRI_B, BINSRI_D, BINSRI_H, ...
5052
1.61k
    printUnsignedImm8(MI, 3, O); 
5053
1.61k
    break;
5054
1.49k
  case 4:
5055
    // BINSL_B, BINSL_D, BINSL_H, BINSL_W, BINSR_B, BINSR_D, BINSR_H, BINSR_W...
5056
1.49k
    printOperand(MI, 3, O); 
5057
1.49k
    break;
5058
55.3k
  }
5059
5060
5061
  // Fragment 5 encoded into 2 bits for 3 unique commands.
5062
  //printf("Frag-5: %"PRIu64"\n", (Bits >> 34) & 3);
5063
55.3k
  switch ((Bits >> 34) & 3) {
5064
0
  default: // llvm_unreachable("Invalid command number.");
5065
49.9k
  case 0:
5066
    // ADD, ADDIUR2_MM, ADDQH_PH, ADDQH_R_PH, ADDQH_R_W, ADDQH_W, ADDQ_PH, AD...
5067
49.9k
    return;
5068
0
    break;
5069
2.95k
  case 1:
5070
    // ALIGN, CINS, CINS32, DALIGN, DEXT, DEXTM, DEXTU, DINS, DINSM, DINSU, D...
5071
2.95k
    SStream_concat0(O, ", "); 
5072
2.95k
    break;
5073
2.43k
  case 2:
5074
    // COPY_S_B, COPY_S_D, COPY_S_H, COPY_S_W, COPY_U_B, COPY_U_D, COPY_U_H, ...
5075
2.43k
    SStream_concat0(O, "]"); 
5076
2.43k
    return;
5077
0
    break;
5078
55.3k
  }
5079
5080
5081
  // Fragment 6 encoded into 1 bits for 2 unique commands.
5082
  //printf("Frag-6: %"PRIu64"\n", (Bits >> 36) & 1);
5083
2.95k
  if ((Bits >> 36) & 1) {
5084
    // DEXT, DEXTM, DEXTU, DINS, DINSM, DINSU, EXT, EXT_MM, INS, INS_MM, MADD...
5085
1.75k
    printOperand(MI, 3, O); 
5086
1.75k
    return;
5087
1.75k
  } else {
5088
    // ALIGN, CINS, CINS32, DALIGN, DLSA, DLSA_R6, EXTS, EXTS32, LSA, LSA_R6
5089
1.20k
    printUnsignedImm(MI, 3, O); 
5090
1.20k
    return;
5091
1.20k
  }
5092
2.95k
}
5093
5094
5095
/// getRegisterName - This method is automatically generated by tblgen
5096
/// from the register set description.  This returns the assembler name
5097
/// for the specified register.
5098
static const char *getRegisterName(unsigned RegNo)
5099
248k
{
5100
  // assert(RegNo && RegNo < 394 && "Invalid register number!");
5101
5102
248k
#ifndef CAPSTONE_DIET
5103
248k
  static const char AsmStrs[] = {
5104
248k
  /* 0 */ 'f', '1', '0', 0,
5105
248k
  /* 4 */ 'w', '1', '0', 0,
5106
248k
  /* 8 */ 'f', '2', '0', 0,
5107
248k
  /* 12 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', '2', '0', 0,
5108
248k
  /* 25 */ 'w', '2', '0', 0,
5109
248k
  /* 29 */ 'f', '3', '0', 0,
5110
248k
  /* 33 */ 'w', '3', '0', 0,
5111
248k
  /* 37 */ 'a', '0', 0,
5112
248k
  /* 40 */ 'a', 'c', '0', 0,
5113
248k
  /* 44 */ 'f', 'c', 'c', '0', 0,
5114
248k
  /* 49 */ 'f', '0', 0,
5115
248k
  /* 52 */ 'k', '0', 0,
5116
248k
  /* 55 */ 'm', 'p', 'l', '0', 0,
5117
248k
  /* 60 */ 'p', '0', 0,
5118
248k
  /* 63 */ 's', '0', 0,
5119
248k
  /* 66 */ 't', '0', 0,
5120
248k
  /* 69 */ 'v', '0', 0,
5121
248k
  /* 72 */ 'w', '0', 0,
5122
248k
  /* 75 */ 'f', '1', '1', 0,
5123
248k
  /* 79 */ 'w', '1', '1', 0,
5124
248k
  /* 83 */ 'f', '2', '1', 0,
5125
248k
  /* 87 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', '2', '1', 0,
5126
248k
  /* 100 */ 'w', '2', '1', 0,
5127
248k
  /* 104 */ 'f', '3', '1', 0,
5128
248k
  /* 108 */ 'w', '3', '1', 0,
5129
248k
  /* 112 */ 'a', '1', 0,
5130
248k
  /* 115 */ 'a', 'c', '1', 0,
5131
248k
  /* 119 */ 'f', 'c', 'c', '1', 0,
5132
248k
  /* 124 */ 'f', '1', 0,
5133
248k
  /* 127 */ 'k', '1', 0,
5134
248k
  /* 130 */ 'm', 'p', 'l', '1', 0,
5135
248k
  /* 135 */ 'p', '1', 0,
5136
248k
  /* 138 */ 's', '1', 0,
5137
248k
  /* 141 */ 't', '1', 0,
5138
248k
  /* 144 */ 'v', '1', 0,
5139
248k
  /* 147 */ 'w', '1', 0,
5140
248k
  /* 150 */ 'f', '1', '2', 0,
5141
248k
  /* 154 */ 'w', '1', '2', 0,
5142
248k
  /* 158 */ 'f', '2', '2', 0,
5143
248k
  /* 162 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', '2', '2', 0,
5144
248k
  /* 175 */ 'w', '2', '2', 0,
5145
248k
  /* 179 */ 'a', '2', 0,
5146
248k
  /* 182 */ 'a', 'c', '2', 0,
5147
248k
  /* 186 */ 'f', 'c', 'c', '2', 0,
5148
248k
  /* 191 */ 'f', '2', 0,
5149
248k
  /* 194 */ 'm', 'p', 'l', '2', 0,
5150
248k
  /* 199 */ 'p', '2', 0,
5151
248k
  /* 202 */ 's', '2', 0,
5152
248k
  /* 205 */ 't', '2', 0,
5153
248k
  /* 208 */ 'w', '2', 0,
5154
248k
  /* 211 */ 'f', '1', '3', 0,
5155
248k
  /* 215 */ 'w', '1', '3', 0,
5156
248k
  /* 219 */ 'f', '2', '3', 0,
5157
248k
  /* 223 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', '2', '3', 0,
5158
248k
  /* 236 */ 'w', '2', '3', 0,
5159
248k
  /* 240 */ 'a', '3', 0,
5160
248k
  /* 243 */ 'a', 'c', '3', 0,
5161
248k
  /* 247 */ 'f', 'c', 'c', '3', 0,
5162
248k
  /* 252 */ 'f', '3', 0,
5163
248k
  /* 255 */ 's', '3', 0,
5164
248k
  /* 258 */ 't', '3', 0,
5165
248k
  /* 261 */ 'w', '3', 0,
5166
248k
  /* 264 */ 'f', '1', '4', 0,
5167
248k
  /* 268 */ 'w', '1', '4', 0,
5168
248k
  /* 272 */ 'f', '2', '4', 0,
5169
248k
  /* 276 */ 'w', '2', '4', 0,
5170
248k
  /* 280 */ 'f', 'c', 'c', '4', 0,
5171
248k
  /* 285 */ 'f', '4', 0,
5172
248k
  /* 288 */ 's', '4', 0,
5173
248k
  /* 291 */ 't', '4', 0,
5174
248k
  /* 294 */ 'w', '4', 0,
5175
248k
  /* 297 */ 'f', '1', '5', 0,
5176
248k
  /* 301 */ 'w', '1', '5', 0,
5177
248k
  /* 305 */ 'f', '2', '5', 0,
5178
248k
  /* 309 */ 'w', '2', '5', 0,
5179
248k
  /* 313 */ 'f', 'c', 'c', '5', 0,
5180
248k
  /* 318 */ 'f', '5', 0,
5181
248k
  /* 321 */ 's', '5', 0,
5182
248k
  /* 324 */ 't', '5', 0,
5183
248k
  /* 327 */ 'w', '5', 0,
5184
248k
  /* 330 */ 'f', '1', '6', 0,
5185
248k
  /* 334 */ 'w', '1', '6', 0,
5186
248k
  /* 338 */ 'f', '2', '6', 0,
5187
248k
  /* 342 */ 'w', '2', '6', 0,
5188
248k
  /* 346 */ 'f', 'c', 'c', '6', 0,
5189
248k
  /* 351 */ 'f', '6', 0,
5190
248k
  /* 354 */ 's', '6', 0,
5191
248k
  /* 357 */ 't', '6', 0,
5192
248k
  /* 360 */ 'w', '6', 0,
5193
248k
  /* 363 */ 'f', '1', '7', 0,
5194
248k
  /* 367 */ 'w', '1', '7', 0,
5195
248k
  /* 371 */ 'f', '2', '7', 0,
5196
248k
  /* 375 */ 'w', '2', '7', 0,
5197
248k
  /* 379 */ 'f', 'c', 'c', '7', 0,
5198
248k
  /* 384 */ 'f', '7', 0,
5199
248k
  /* 387 */ 's', '7', 0,
5200
248k
  /* 390 */ 't', '7', 0,
5201
248k
  /* 393 */ 'w', '7', 0,
5202
248k
  /* 396 */ 'f', '1', '8', 0,
5203
248k
  /* 400 */ 'w', '1', '8', 0,
5204
248k
  /* 404 */ 'f', '2', '8', 0,
5205
248k
  /* 408 */ 'w', '2', '8', 0,
5206
248k
  /* 412 */ 'f', '8', 0,
5207
248k
  /* 415 */ 't', '8', 0,
5208
248k
  /* 418 */ 'w', '8', 0,
5209
248k
  /* 421 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', '1', '6', '_', '1', '9', 0,
5210
248k
  /* 437 */ 'f', '1', '9', 0,
5211
248k
  /* 441 */ 'w', '1', '9', 0,
5212
248k
  /* 445 */ 'f', '2', '9', 0,
5213
248k
  /* 449 */ 'w', '2', '9', 0,
5214
248k
  /* 453 */ 'f', '9', 0,
5215
248k
  /* 456 */ 't', '9', 0,
5216
248k
  /* 459 */ 'w', '9', 0,
5217
248k
  /* 462 */ 'D', 'S', 'P', 'E', 'F', 'I', 0,
5218
248k
  /* 469 */ 'r', 'a', 0,
5219
248k
  /* 472 */ 'h', 'w', 'r', '_', 'c', 'c', 0,
5220
248k
  /* 479 */ 'p', 'c', 0,
5221
248k
  /* 482 */ 'D', 'S', 'P', 'C', 'C', 'o', 'n', 'd', 0,
5222
248k
  /* 491 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', 0,
5223
248k
  /* 502 */ 'h', 'i', 0,
5224
248k
  /* 505 */ 'h', 'w', 'r', '_', 'c', 'p', 'u', 'n', 'u', 'm', 0,
5225
248k
  /* 516 */ 'l', 'o', 0,
5226
248k
  /* 519 */ 'z', 'e', 'r', 'o', 0,
5227
248k
  /* 524 */ 'h', 'w', 'r', '_', 's', 'y', 'n', 'c', 'i', '_', 's', 't', 'e', 'p', 0,
5228
248k
  /* 539 */ 'f', 'p', 0,
5229
248k
  /* 542 */ 'g', 'p', 0,
5230
248k
  /* 545 */ 's', 'p', 0,
5231
248k
  /* 548 */ 'h', 'w', 'r', '_', 'c', 'c', 'r', 'e', 's', 0,
5232
248k
  /* 558 */ 'D', 'S', 'P', 'P', 'o', 's', 0,
5233
248k
  /* 565 */ 'a', 't', 0,
5234
248k
  /* 568 */ 'D', 'S', 'P', 'S', 'C', 'o', 'u', 'n', 't', 0,
5235
248k
  /* 578 */ 'D', 'S', 'P', 'C', 'a', 'r', 'r', 'y', 0,
5236
248k
  };
5237
5238
248k
  static const uint16_t RegAsmOffset[] = {
5239
248k
    565, 482, 578, 462, 491, 558, 568, 539, 542, 152, 77, 2, 332, 266, 
5240
248k
    299, 213, 365, 479, 469, 545, 519, 37, 112, 179, 240, 40, 115, 182, 
5241
248k
    243, 565, 45, 120, 187, 248, 281, 314, 347, 380, 2, 77, 152, 213, 
5242
248k
    266, 299, 332, 365, 398, 435, 2, 77, 152, 213, 266, 299, 332, 365, 
5243
248k
    398, 435, 1, 76, 151, 212, 265, 298, 331, 364, 397, 434, 9, 84, 
5244
248k
    159, 220, 273, 306, 339, 372, 405, 446, 30, 105, 1, 76, 151, 212, 
5245
248k
    265, 298, 331, 364, 397, 434, 9, 84, 159, 220, 273, 306, 339, 372, 
5246
248k
    405, 446, 30, 105, 49, 191, 285, 351, 412, 0, 150, 264, 330, 396, 
5247
248k
    8, 158, 272, 338, 404, 29, 12, 87, 162, 223, 49, 124, 191, 252, 
5248
248k
    285, 318, 351, 384, 412, 453, 0, 75, 150, 211, 264, 297, 330, 363, 
5249
248k
    396, 437, 8, 83, 158, 219, 272, 305, 338, 371, 404, 445, 29, 104, 
5250
248k
    44, 119, 186, 247, 280, 313, 346, 379, 2, 77, 152, 213, 266, 299, 
5251
248k
    332, 365, 398, 435, 1, 76, 151, 212, 265, 298, 331, 364, 397, 434, 
5252
248k
    9, 84, 159, 220, 273, 306, 339, 372, 405, 446, 30, 105, 539, 49, 
5253
248k
    124, 191, 252, 285, 318, 351, 384, 412, 453, 0, 75, 150, 211, 264, 
5254
248k
    297, 330, 363, 396, 437, 8, 83, 158, 219, 272, 305, 338, 371, 404, 
5255
248k
    445, 29, 104, 542, 40, 115, 182, 243, 505, 524, 472, 548, 266, 299, 
5256
248k
    332, 365, 398, 435, 1, 76, 151, 212, 265, 298, 331, 364, 397, 434, 
5257
248k
    9, 84, 159, 220, 273, 306, 339, 372, 405, 446, 30, 105, 52, 127, 
5258
248k
    40, 115, 182, 243, 55, 130, 194, 60, 135, 199, 469, 63, 138, 202, 
5259
248k
    255, 288, 321, 354, 387, 545, 66, 141, 205, 258, 291, 324, 357, 390, 
5260
248k
    415, 456, 69, 144, 72, 147, 208, 261, 294, 327, 360, 393, 418, 459, 
5261
248k
    4, 79, 154, 215, 268, 301, 334, 367, 400, 441, 25, 100, 175, 236, 
5262
248k
    276, 309, 342, 375, 408, 449, 33, 108, 519, 37, 112, 179, 240, 40, 
5263
248k
    49, 124, 191, 252, 285, 318, 351, 384, 412, 453, 0, 75, 150, 211, 
5264
248k
    264, 297, 330, 363, 396, 437, 8, 83, 158, 219, 272, 305, 338, 371, 
5265
248k
    404, 445, 29, 104, 421, 502, 52, 127, 516, 63, 138, 202, 255, 288, 
5266
248k
    321, 354, 387, 66, 141, 205, 258, 291, 324, 357, 390, 415, 456, 69, 
5267
248k
    144, 
5268
248k
  };
5269
5270
  //printf("==== RegNo = %u, id = %s\n", RegNo, AsmStrs+RegAsmOffset[RegNo-1]);
5271
  //int i;
5272
  //for (i = 0; i < sizeof(RegAsmOffset)/2; i++)
5273
  //     printf("%s = %u\n", AsmStrs+RegAsmOffset[i], i + 1);
5274
  //printf("-------------------------\n");
5275
248k
  return AsmStrs+RegAsmOffset[RegNo-1];
5276
#else
5277
  return NULL;
5278
#endif
5279
248k
}
5280
5281
#ifdef PRINT_ALIAS_INSTR
5282
#undef PRINT_ALIAS_INSTR
5283
5284
static void printCustomAliasOperand(MCInst *MI, unsigned OpIdx,
5285
  unsigned PrintMethodIdx, SStream *OS)
5286
0
{
5287
0
}
5288
5289
static char *printAliasInstr(MCInst *MI, SStream *OS, void *info)
5290
149k
{
5291
149k
  #define GETREGCLASS_CONTAIN(_class, _reg) MCRegisterClass_contains(MCRegisterInfo_getRegClass(MRI, _class), MCOperand_getReg(MCInst_getOperand(MI, _reg)))
5292
149k
  const char *AsmString;
5293
149k
  char *tmp, *AsmMnem, *AsmOps, *c;
5294
149k
  int OpIdx, PrintMethodIdx;
5295
149k
  MCRegisterInfo *MRI = (MCRegisterInfo *)info;
5296
149k
  switch (MCInst_getOpcode(MI)) {
5297
125k
  default: return NULL;
5298
591
  case Mips_ADDu:
5299
591
    if (MCInst_getNumOperands(MI) == 3 &&
5300
591
        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
5301
591
        GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 0) &&
5302
591
        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
5303
591
        GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 1) &&
5304
591
        MCOperand_getReg(MCInst_getOperand(MI, 2)) == Mips_ZERO) {
5305
      // (ADDu GPR32Opnd:$dst, GPR32Opnd:$src, ZERO)
5306
166
      AsmString = "move $\x01, $\x02";
5307
166
      break;
5308
166
    }
5309
425
    return NULL;
5310
219
  case Mips_BC0F:
5311
219
    if (MCInst_getNumOperands(MI) == 2 &&
5312
219
        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_CC0) {
5313
      // (BC0F CC0, brtarget:$offset)
5314
83
      AsmString = "bc0f $\x02";
5315
83
      break;
5316
83
    }
5317
136
    return NULL;
5318
280
  case Mips_BC0FL:
5319
280
    if (MCInst_getNumOperands(MI) == 2 &&
5320
280
        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_CC0) {
5321
      // (BC0FL CC0, brtarget:$offset)
5322
32
      AsmString = "bc0fl $\x02";
5323
32
      break;
5324
32
    }
5325
248
    return NULL;
5326
173
  case Mips_BC0T:
5327
173
    if (MCInst_getNumOperands(MI) == 2 &&
5328
173
        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_CC0) {
5329
      // (BC0T CC0, brtarget:$offset)
5330
21
      AsmString = "bc0t $\x02";
5331
21
      break;
5332
21
    }
5333
152
    return NULL;
5334
272
  case Mips_BC0TL:
5335
272
    if (MCInst_getNumOperands(MI) == 2 &&
5336
272
        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_CC0) {
5337
      // (BC0TL CC0, brtarget:$offset)
5338
237
      AsmString = "bc0tl $\x02";
5339
237
      break;
5340
237
    }
5341
35
    return NULL;
5342
660
  case Mips_BC1F:
5343
660
    if (MCInst_getNumOperands(MI) == 2 &&
5344
660
        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_FCC0) {
5345
      // (BC1F FCC0, brtarget:$offset)
5346
416
      AsmString = "bc1f $\x02";
5347
416
      break;
5348
416
    }
5349
244
    return NULL;
5350
59
  case Mips_BC1FL:
5351
59
    if (MCInst_getNumOperands(MI) == 2 &&
5352
59
        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_FCC0) {
5353
      // (BC1FL FCC0, brtarget:$offset)
5354
23
      AsmString = "bc1fl $\x02";
5355
23
      break;
5356
23
    }
5357
36
    return NULL;
5358
318
  case Mips_BC1T:
5359
318
    if (MCInst_getNumOperands(MI) == 2 &&
5360
318
        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_FCC0) {
5361
      // (BC1T FCC0, brtarget:$offset)
5362
21
      AsmString = "bc1t $\x02";
5363
21
      break;
5364
21
    }
5365
297
    return NULL;
5366
303
  case Mips_BC1TL:
5367
303
    if (MCInst_getNumOperands(MI) == 2 &&
5368
303
        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_FCC0) {
5369
      // (BC1TL FCC0, brtarget:$offset)
5370
123
      AsmString = "bc1tl $\x02";
5371
123
      break;
5372
123
    }
5373
180
    return NULL;
5374
124
  case Mips_BC2F:
5375
124
    if (MCInst_getNumOperands(MI) == 2 &&
5376
124
        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_CC0) {
5377
      // (BC2F CC0, brtarget:$offset)
5378
96
      AsmString = "bc2f $\x02";
5379
96
      break;
5380
96
    }
5381
28
    return NULL;
5382
127
  case Mips_BC2FL:
5383
127
    if (MCInst_getNumOperands(MI) == 2 &&
5384
127
        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_CC0) {
5385
      // (BC2FL CC0, brtarget:$offset)
5386
41
      AsmString = "bc2fl $\x02";
5387
41
      break;
5388
41
    }
5389
86
    return NULL;
5390
144
  case Mips_BC2T:
5391
144
    if (MCInst_getNumOperands(MI) == 2 &&
5392
144
        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_CC0) {
5393
      // (BC2T CC0, brtarget:$offset)
5394
90
      AsmString = "bc2t $\x02";
5395
90
      break;
5396
90
    }
5397
54
    return NULL;
5398
98
  case Mips_BC2TL:
5399
98
    if (MCInst_getNumOperands(MI) == 2 &&
5400
98
        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_CC0) {
5401
      // (BC2TL CC0, brtarget:$offset)
5402
61
      AsmString = "bc2tl $\x02";
5403
61
      break;
5404
61
    }
5405
37
    return NULL;
5406
385
  case Mips_BC3F:
5407
385
    if (MCInst_getNumOperands(MI) == 2 &&
5408
385
        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_CC0) {
5409
      // (BC3F CC0, brtarget:$offset)
5410
91
      AsmString = "bc3f $\x02";
5411
91
      break;
5412
91
    }
5413
294
    return NULL;
5414
60
  case Mips_BC3FL:
5415
60
    if (MCInst_getNumOperands(MI) == 2 &&
5416
60
        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_CC0) {
5417
      // (BC3FL CC0, brtarget:$offset)
5418
30
      AsmString = "bc3fl $\x02";
5419
30
      break;
5420
30
    }
5421
30
    return NULL;
5422
202
  case Mips_BC3T:
5423
202
    if (MCInst_getNumOperands(MI) == 2 &&
5424
202
        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_CC0) {
5425
      // (BC3T CC0, brtarget:$offset)
5426
83
      AsmString = "bc3t $\x02";
5427
83
      break;
5428
83
    }
5429
119
    return NULL;
5430
867
  case Mips_BC3TL:
5431
867
    if (MCInst_getNumOperands(MI) == 2 &&
5432
867
        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_CC0) {
5433
      // (BC3TL CC0, brtarget:$offset)
5434
616
      AsmString = "bc3tl $\x02";
5435
616
      break;
5436
616
    }
5437
251
    return NULL;
5438
434
  case Mips_BREAK:
5439
434
    if (MCInst_getNumOperands(MI) == 2 &&
5440
434
        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
5441
434
        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0 &&
5442
434
        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
5443
434
        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 0) {
5444
      // (BREAK 0, 0)
5445
36
      AsmString = "break";
5446
36
      break;
5447
36
    }
5448
398
    if (MCInst_getNumOperands(MI) == 2 &&
5449
398
        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
5450
398
        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 0) {
5451
      // (BREAK uimm10:$imm, 0)
5452
92
      AsmString = "break $\x01";
5453
92
      break;
5454
92
    }
5455
306
    return NULL;
5456
118
  case Mips_DADDu:
5457
118
    if (MCInst_getNumOperands(MI) == 3 &&
5458
118
        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
5459
118
        GETREGCLASS_CONTAIN(Mips_GPR64RegClassID, 0) &&
5460
118
        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
5461
118
        GETREGCLASS_CONTAIN(Mips_GPR64RegClassID, 1) &&
5462
118
        MCOperand_getReg(MCInst_getOperand(MI, 2)) == Mips_ZERO_64) {
5463
      // (DADDu GPR64Opnd:$dst, GPR64Opnd:$src, ZERO_64)
5464
71
      AsmString = "move $\x01, $\x02";
5465
71
      break;
5466
71
    }
5467
47
    return NULL;
5468
115
  case Mips_DI:
5469
115
    if (MCInst_getNumOperands(MI) == 1 &&
5470
115
        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_ZERO) {
5471
      // (DI ZERO)
5472
101
      AsmString = "di";
5473
101
      break;
5474
101
    }
5475
14
    return NULL;
5476
942
  case Mips_EI:
5477
942
    if (MCInst_getNumOperands(MI) == 1 &&
5478
942
        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_ZERO) {
5479
      // (EI ZERO)
5480
334
      AsmString = "ei";
5481
334
      break;
5482
334
    }
5483
608
    return NULL;
5484
163
  case Mips_JALR:
5485
163
    if (MCInst_getNumOperands(MI) == 2 &&
5486
163
        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_ZERO &&
5487
163
        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
5488
163
        GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 1)) {
5489
      // (JALR ZERO, GPR32Opnd:$rs)
5490
33
      AsmString = "jr $\x02";
5491
33
      break;
5492
33
    }
5493
130
    return NULL;
5494
0
  case Mips_JALR64:
5495
0
    if (MCInst_getNumOperands(MI) == 2 &&
5496
0
        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_ZERO_64 &&
5497
0
        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
5498
0
        GETREGCLASS_CONTAIN(Mips_GPR64RegClassID, 1)) {
5499
      // (JALR64 ZERO_64, GPR64Opnd:$rs)
5500
0
      AsmString = "jr $\x02";
5501
0
      break;
5502
0
    }
5503
0
    return NULL;
5504
249
  case Mips_JALR_HB:
5505
249
    if (MCInst_getNumOperands(MI) == 2 &&
5506
249
        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_RA &&
5507
249
        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
5508
249
        GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 1)) {
5509
      // (JALR_HB RA, GPR32Opnd:$rs)
5510
236
      AsmString = "jalr.hb $\x02";
5511
236
      break;
5512
236
    }
5513
13
    return NULL;
5514
3.63k
  case Mips_MOVE16_MM:
5515
3.63k
    if (MCInst_getNumOperands(MI) == 2 &&
5516
3.63k
        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_ZERO &&
5517
3.63k
        MCOperand_getReg(MCInst_getOperand(MI, 1)) == Mips_ZERO) {
5518
      // (MOVE16_MM ZERO, ZERO)
5519
515
      AsmString = "nop";
5520
515
      break;
5521
515
    }
5522
3.11k
    return NULL;
5523
156
  case Mips_SDBBP:
5524
156
    if (MCInst_getNumOperands(MI) == 1 &&
5525
156
        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
5526
156
        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
5527
      // (SDBBP 0)
5528
18
      AsmString = "sdbbp";
5529
18
      break;
5530
18
    }
5531
138
    return NULL;
5532
143
  case Mips_SDBBP_R6:
5533
143
    if (MCInst_getNumOperands(MI) == 1 &&
5534
143
        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
5535
143
        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
5536
      // (SDBBP_R6 0)
5537
33
      AsmString = "sdbbp";
5538
33
      break;
5539
33
    }
5540
110
    return NULL;
5541
6.36k
  case Mips_SLL:
5542
6.36k
    if (MCInst_getNumOperands(MI) == 3 &&
5543
6.36k
        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_ZERO &&
5544
6.36k
        MCOperand_getReg(MCInst_getOperand(MI, 1)) == Mips_ZERO &&
5545
6.36k
        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
5546
6.36k
        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
5547
      // (SLL ZERO, ZERO, 0)
5548
4.49k
      AsmString = "nop";
5549
4.49k
      break;
5550
4.49k
    }
5551
1.86k
    return NULL;
5552
2.11k
  case Mips_SLL_MM:
5553
2.11k
    if (MCInst_getNumOperands(MI) == 3 &&
5554
2.11k
        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_ZERO &&
5555
2.11k
        MCOperand_getReg(MCInst_getOperand(MI, 1)) == Mips_ZERO &&
5556
2.11k
        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
5557
2.11k
        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
5558
      // (SLL_MM ZERO, ZERO, 0)
5559
1.21k
      AsmString = "nop";
5560
1.21k
      break;
5561
1.21k
    }
5562
904
    return NULL;
5563
100
  case Mips_SUB:
5564
100
    if (MCInst_getNumOperands(MI) == 3 &&
5565
100
        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
5566
100
        GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 0) &&
5567
100
        MCOperand_getReg(MCInst_getOperand(MI, 1)) == Mips_ZERO &&
5568
100
        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
5569
100
        GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 2)) {
5570
      // (SUB GPR32Opnd:$rt, ZERO, GPR32Opnd:$rs)
5571
54
      AsmString = "neg $\x01, $\x03";
5572
54
      break;
5573
54
    }
5574
46
    return NULL;
5575
118
  case Mips_SUBu:
5576
118
    if (MCInst_getNumOperands(MI) == 3 &&
5577
118
        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
5578
118
        GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 0) &&
5579
118
        MCOperand_getReg(MCInst_getOperand(MI, 1)) == Mips_ZERO &&
5580
118
        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
5581
118
        GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 2)) {
5582
      // (SUBu GPR32Opnd:$rt, ZERO, GPR32Opnd:$rs)
5583
34
      AsmString = "negu $\x01, $\x03";
5584
34
      break;
5585
34
    }
5586
84
    return NULL;
5587
419
  case Mips_SYNC:
5588
419
    if (MCInst_getNumOperands(MI) == 1 &&
5589
419
        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
5590
419
        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
5591
      // (SYNC 0)
5592
35
      AsmString = "sync";
5593
35
      break;
5594
35
    }
5595
384
    return NULL;
5596
1.06k
  case Mips_SYSCALL:
5597
1.06k
    if (MCInst_getNumOperands(MI) == 1 &&
5598
1.06k
        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
5599
1.06k
        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
5600
      // (SYSCALL 0)
5601
691
      AsmString = "syscall";
5602
691
      break;
5603
691
    }
5604
377
    return NULL;
5605
823
  case Mips_TEQ:
5606
823
    if (MCInst_getNumOperands(MI) == 3 &&
5607
823
        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
5608
823
        GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 0) &&
5609
823
        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
5610
823
        GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 1) &&
5611
823
        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
5612
823
        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
5613
      // (TEQ GPR32Opnd:$rs, GPR32Opnd:$rt, 0)
5614
431
      AsmString = "teq $\x01, $\x02";
5615
431
      break;
5616
431
    }
5617
392
    return NULL;
5618
239
  case Mips_TGE:
5619
239
    if (MCInst_getNumOperands(MI) == 3 &&
5620
239
        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
5621
239
        GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 0) &&
5622
239
        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
5623
239
        GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 1) &&
5624
239
        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
5625
239
        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
5626
      // (TGE GPR32Opnd:$rs, GPR32Opnd:$rt, 0)
5627
33
      AsmString = "tge $\x01, $\x02";
5628
33
      break;
5629
33
    }
5630
206
    return NULL;
5631
285
  case Mips_TGEU:
5632
285
    if (MCInst_getNumOperands(MI) == 3 &&
5633
285
        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
5634
285
        GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 0) &&
5635
285
        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
5636
285
        GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 1) &&
5637
285
        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
5638
285
        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
5639
      // (TGEU GPR32Opnd:$rs, GPR32Opnd:$rt, 0)
5640
56
      AsmString = "tgeu $\x01, $\x02";
5641
56
      break;
5642
56
    }
5643
229
    return NULL;
5644
198
  case Mips_TLT:
5645
198
    if (MCInst_getNumOperands(MI) == 3 &&
5646
198
        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
5647
198
        GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 0) &&
5648
198
        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
5649
198
        GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 1) &&
5650
198
        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
5651
198
        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
5652
      // (TLT GPR32Opnd:$rs, GPR32Opnd:$rt, 0)
5653
47
      AsmString = "tlt $\x01, $\x02";
5654
47
      break;
5655
47
    }
5656
151
    return NULL;
5657
598
  case Mips_TLTU:
5658
598
    if (MCInst_getNumOperands(MI) == 3 &&
5659
598
        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
5660
598
        GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 0) &&
5661
598
        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
5662
598
        GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 1) &&
5663
598
        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
5664
598
        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
5665
      // (TLTU GPR32Opnd:$rs, GPR32Opnd:$rt, 0)
5666
149
      AsmString = "tltu $\x01, $\x02";
5667
149
      break;
5668
149
    }
5669
449
    return NULL;
5670
225
  case Mips_TNE:
5671
225
    if (MCInst_getNumOperands(MI) == 3 &&
5672
225
        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
5673
225
        GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 0) &&
5674
225
        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
5675
225
        GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 1) &&
5676
225
        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
5677
225
        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
5678
      // (TNE GPR32Opnd:$rs, GPR32Opnd:$rt, 0)
5679
81
      AsmString = "tne $\x01, $\x02";
5680
81
      break;
5681
81
    }
5682
144
    return NULL;
5683
457
  case Mips_WAIT_MM:
5684
457
    if (MCInst_getNumOperands(MI) == 1 &&
5685
457
        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
5686
457
        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
5687
      // (WAIT_MM 0)
5688
194
      AsmString = "wait";
5689
194
      break;
5690
194
    }
5691
263
    return NULL;
5692
149k
  }
5693
5694
11.2k
  tmp = cs_strdup(AsmString);
5695
11.2k
  AsmMnem = tmp;
5696
52.3k
  for(AsmOps = tmp; *AsmOps; AsmOps++) {
5697
44.7k
    if (*AsmOps == ' ' || *AsmOps == '\t') {
5698
3.54k
      *AsmOps = '\0';
5699
3.54k
      AsmOps++;
5700
3.54k
      break;
5701
3.54k
    }
5702
44.7k
  }
5703
11.2k
  SStream_concat0(OS, AsmMnem);
5704
11.2k
  if (*AsmOps) {
5705
3.54k
    SStream_concat0(OS, "\t");
5706
10.4k
    for (c = AsmOps; *c; c++) {
5707
6.91k
      if (*c == '$') {
5708
4.66k
        c += 1;
5709
4.66k
        if (*c == (char)0xff) {
5710
0
          c += 1;
5711
0
          OpIdx = *c - 1;
5712
0
          c += 1;
5713
0
          PrintMethodIdx = *c - 1;
5714
0
          printCustomAliasOperand(MI, OpIdx, PrintMethodIdx, OS);
5715
0
        } else
5716
4.66k
          printOperand(MI, *c - 1, OS);
5717
4.66k
      } else {
5718
2.24k
        SStream_concat(OS, "%c", *c);
5719
2.24k
      }
5720
6.91k
    }
5721
3.54k
  }
5722
11.2k
  return tmp;
5723
149k
}
5724
5725
#endif // PRINT_ALIAS_INSTR